The SCEAS System
Navigation Menu

Conferences in DBLP

IEEE VLSI Test Symposium (vts)
1995 (conf/vts/1995)

  1. David E. Long, Mahesh A. Iyer, Miron Abramovici
    Identifying sequentially untestable faults using illegal states. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:4-11 [Conf]
  2. Srimat T. Chakradhar, Steven G. Rothweiler
    Redundancy Removal and Test Generation for Circuits with Non-Boolean Primitives. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:12-19 [Conf]
  3. Mark C. Hansen, John P. Hayes
    High-level test generation using physically-induced faults. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:20-28 [Conf]
  4. Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda, Enzo Veiluva
    A portable ATPG tool for parallel and distributed systems. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:29-34 [Conf]
  5. Dimitris Gizopoulos, Dimitris Nikolos, Antonis M. Paschalis
    Testing combinational iterative logic arrays for realistic faults. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:35-41 [Conf]
  6. Ashok Balivada, Yatin Vasant Hoskote, Jacob A. Abraham
    Verification of transient response of linear analog circuits. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:42-47 [Conf]
  7. Diego Vázquez, Adoración Rueda, José L. Huertas
    A solution for the on-line test of analog ladder filters. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:48-53 [Conf]
  8. Khaled Saab, Bozena Kaminska, Bernard Courtois, Marcelo Lubaszewski
    Frequency-based BIST for analog circuit testin. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:54-59 [Conf]
  9. Stephen K. Sunter
    A low cost 100 MHz analog test bus. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:60-65 [Conf]
  10. Lahouari Sebaa, Norm Gardner, Robert Neidorff, Rich Valley
    Self-test in a VCM driver chip. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:66-73 [Conf]
  11. Li-C. Wang, M. Ray Mercer, Sophia W. Kao, Thomas W. Williams
    On the decline of testing efficiency as fault coverage approaches 100%. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:74-83 [Conf]
  12. Peter C. Maxwell
    The use of IDDQ testing in low stuck-at coverage situations. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:84-88 [Conf]
  13. Vinay Dabholkar, Sreejit Chakravarty, J. Najm, Janak H. Patel
    Cyclic stress tests for full scan circuits. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:89-94 [Conf]
  14. J. A. Segura, M. Roca, D. Mateo, A. Rubio
    An approach to dynamic power consumption current testing of CMOS ICs. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:95-100 [Conf]
  15. J. Arguelles, M. J. Lopez, J. Blanco, M. Martínez, S. Bracho
    Iddt testing of continuous-time filters. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:101-107 [Conf]
  16. Jacob Savir
    On shrinking wide compressors. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:108-117 [Conf]
  17. Albrecht P. Stroele
    Signature analysis and aliasing for sequential circuits. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:118-124 [Conf]
  18. Shridhar K. Mukund, Edward J. McCluskey, T. R. N. Rao
    An apparatus for pseudo-deterministic testing. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:125-131 [Conf]
  19. Nilanjan Mukherjee, H. Kassab, Janusz Rajski, Jerzy Tyszer
    Arithmetic built-in self test for high-level synthesis. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:132-139 [Conf]
  20. Jeffrey A. Floyd, Matt Perry
    Real-time on-board bus testing. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:140-151 [Conf]
  21. Hiroyuki Yotsuyanagi, Seiji Kajihara, Kozo Kinoshita
    Resynthesis for sequential circuits designed with a specified initial state. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:152-157 [Conf]
  22. Frank F. Hsu, Janak H. Patel
    A distance reduction approach to design for testability. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:158-163 [Conf]
  23. Ting-Yu Kuo, Chun-Yeh Liu, Kewal K. Saluja
    An optimized testable architecture for finite state machines. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:164-169 [Conf]
  24. Mahsa Vahidi, Alex Orailoglu
    Testability metrics for synthesis of self-testable designs and effective test plans. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:170-175 [Conf]
  25. Xinli Gu
    RT level testability-driven partitioning. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:176-183 [Conf]
  26. Michel Renovell, P. Huc, Yves Bertrand
    The concept of resistance interval: a new parametric model for realistic resistive bridging fault. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:184-189 [Conf]
  27. Ding Lu, Carol Q. Tong
    High level fault modeling of asynchronous circuits. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:190-195 [Conf]
  28. Samy Makar, Edward J. McCluskey
    Checking experiments to test latches. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:196-201 [Conf]
  29. Víctor H. Champac, Joan Figueras
    Testability of floating gate defects in sequential circuits. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:202-207 [Conf]
  30. Peter Lidén, Peter Dahlgren
    Switch-level modeling of transistor-level stuck-at faults. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:208-215 [Conf]
  31. Tapan J. Chakraborty, Vishwani D. Agrawal
    Simulation of at-speed tests for stuck-at faults. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:216-220 [Conf]
  32. Rajesh Nair, Dong Sam Ha
    VISION: an efficient parallel pattern fault simulator for synchronous sequential circuits. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:221-226 [Conf]
  33. Marc Riedel, Janusz Rajski
    Fault coverage analysis of RAM test algorithms. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:227-234 [Conf]
  34. Alessandro Bogliolo, Maurizio Damiani, Piero Olivo, Bruno Riccò
    Reliability evaluation of combinational logic circuits by symbolic simulation. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:235-243 [Conf]
  35. Charles E. Stroud, T. Raju Damarla
    Improving the efficiency of error identification via signature analysis. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:244-249 [Conf]
  36. Samantha Edirisooriya, Geetani Edirisooriya
    Diagnosis of scan path failures. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:250-255 [Conf]
  37. Tong Liu, Fabrizio Lombardi, José Salinas
    Diagnosis of interconnects and FPICs using a structured walking-1 approach. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:256-261 [Conf]
  38. Claude Thibeault
    Detection and location of faults and defects using digital signal processing. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:262-269 [Conf]
  39. Sridhar Narayanan, Melvin A. Breuer
    Asynchronous multiple scan chain. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:270-276 [Conf]
  40. Kwang-Ting Cheng
    Partial scan designs without using a separate scan clock. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:277-282 [Conf]
  41. Ajay Khoche, Erik Brunvand
    A partial scan methodology for testing self-timed circuits. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:283-289 [Conf]
  42. Mohamed Soufi, Yvon Savaria, Bozena Kaminska
    On the design of at-speed testable VLSI circuits. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:290-295 [Conf]
  43. O. A. Petlin, Stephen B. Furber
    Scan testing of micropipelines. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:296-303 [Conf]
  44. Marcello Dalpasso, Michele Favalli, Piero Olivo
    Test pattern generation for I/sub DDQ/: increasing test quality. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:304-309 [Conf]
  45. Remata S. Reddy, Irith Pomeranz, Sudhakar M. Reddy, Seiji Kajihara
    Compact test generation for bridging faults under I/sub DDQ/ testing. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:310-316 [Conf]
  46. Udo Mahlstedt, Jürgen Alt, Matthias Heinitz
    CURRENT: a test generation system for I/sub DDQ/ testing. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:317-323 [Conf]
  47. Josep Rius, Joan Figueras
    Detecting I/sub DDQ/ defective CMOS circuits by depowering. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:324-329 [Conf]
  48. Marcelino B. Santos, M. Simões, Isabel C. Teixeira, João Paulo Teixeira
    Test preparation for high coverage of physical defects in CMOS digital ICs. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:330-337 [Conf]
  49. Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda, Uwe Gläser, Heinrich Theodor Vierhaus
    Improving topological ATPG with symbolic techniques. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:338-343 [Conf]
  50. Tomoo Inoue, Hironori Maeda, Hideo Fujiwara
    A scheduling problem in test generation. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:344-349 [Conf]
  51. Andrej Zemva, Franc Brglez
    Detectable perturbations: a paradigm for technology-specific multi-fault test generation. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:350-357 [Conf]
  52. M. H. Konijnenburg, J. Th. van der Linden, A. J. van de Goor
    Compact test sets for industrial circuits. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:358-366 [Conf]
  53. Bapiraju Vinnakota, Nicholas J. Stessman
    Reducing test application time in scan design schemes. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:367-373 [Conf]
  54. Angela Krstic, Kwang-Ting Cheng
    Generation of high quality tests for functional sensitizable paths. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:374-379 [Conf]
  55. Patrick Girard, Christian Landrault, Serge Pravossoudovitch, B. Rodriguez
    Diagnostic of path and gate delay faults in non-scan sequential circuits. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:380-386 [Conf]
  56. Harry Hengster, Rolf Drechsler, Bernd Becker
    On the application of local circuit transformations with special emphasis on path delay fault testability. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:387-392 [Conf]
  57. Imtiaz P. Shaik, Michael L. Bushnell
    Circuit design for low overhead delay-fault BIST using constrained quadratic 0-1 programming . [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:393-399 [Conf]
  58. Wuudiann Ke, Premachandran R. Menon
    Multifault testability of delay-testable circuits. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:400-409 [Conf]
  59. Nur A. Touba, Edward J. McCluskey
    Transformed pseudo-random patterns for BIST. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:410-416 [Conf]
  60. Mitrajit Chatterjee, Dhiraj K. Pradhan
    A novel pattern generator for near-perfect fault-coverage. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:417-425 [Conf]
  61. Nadime Zacharia, Janusz Rajski, Jerzy Tyszer
    Decompression of test data using variable-length seed LFSRs. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:426-433 [Conf]
  62. Samir Lejmi, Bozena Kaminska, Bechir Ayari
    Retiming, resynthesis, and partitioning for the pseudo-exhaustive testing of sequential circuits. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:434-439 [Conf]
  63. Günter Kemnitz
    Synthesis of locally exhaustive test pattern generators. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:440-447 [Conf]
  64. Samvel K. Shoukourian, Armen G. Kostanian, Valery A. Margarian, Ayman A. Ashour
    An approach for system tests design and its application. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:448-453 [Conf]
  65. Alessandro Bogliolo, Maurizio Damiani
    Synthesis of combinational circuits with special fault-handling capabilitie. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:454-459 [Conf]
  66. B. Hamdi, H. Bederr, Michael Nicolaidis
    A tool for automatic generation of self-checking data paths. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:460-466 [Conf]
  67. Steve Brown, Germán Gutiérrez, Reed Nelson, Chris VanKrevelen
    A gate-array based 500 MHz triple channel ATE controller with 40 pS timing verniers. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:467-471 [Conf]
  68. Walter W. Weber, Adit D. Singh
    An experimental evaluation of the differential BICS for I/sub DDQ/ testing. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:472-485 [Conf]
  69. Joan Carletta, Christos A. Papachristou
    Structural constraints for circular self-test paths. [Citation Graph (0, 0)][DBLP]
    VTS, 1995, pp:486-491 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002