The SCEAS System
Navigation Menu

Conferences in DBLP

Asia and South Pacific Design Automation Conference (ASP-DAC) (aspdac)
2008 (conf/aspdac/2008)


  1. A brand new wireless day. [Citation Graph (, )][DBLP]


  2. Variability-driven module selection with joint design time optimization and post-silicon tuning. [Citation Graph (, )][DBLP]


  3. Behavioral synthesis with activating unused flip-flops for reducing glitch power in FPGA. [Citation Graph (, )][DBLP]


  4. A multicycle communication architecture and synthesis flow for Global interconnect Resource Sharing. [Citation Graph (, )][DBLP]


  5. Scheduling with integer time budgeting for low-power optimization. [Citation Graph (, )][DBLP]


  6. REWIRED - Register Write Inhibition by Resource Dedication. [Citation Graph (, )][DBLP]


  7. An efficient performance improvement method utilizing specialized functional units in Behavioral Synthesis. [Citation Graph (, )][DBLP]


  8. Predictive power aware management for embedded mobile devices. [Citation Graph (, )][DBLP]


  9. A dynamic-programming algorithm for reducing the energy consumption of pipelined System-Level streaming applications. [Citation Graph (, )][DBLP]


  10. Temperature-aware MPSoC scheduling for reducing hot spots and gradients. [Citation Graph (, )][DBLP]


  11. Run-time power gating of on-chip routers using look-ahead routing. [Citation Graph (, )][DBLP]


  12. Automated techniques for energy efficient scheduling on homogeneous and heterogeneous chip multi-processor architectures. [Citation Graph (, )][DBLP]


  13. Statistical power profile correlation for realistic thermal estimation. [Citation Graph (, )][DBLP]


  14. Reconfigurable RTD-based circuit elements of complete logic functionality. [Citation Graph (, )][DBLP]


  15. MBARC: A scalable memory based reconfigurable computing framework for nanoscale devices. [Citation Graph (, )][DBLP]


  16. Moving forward: A non-search based synthesis method toward efficient CNOT-based quantum circuit synthesis algorithms. [Citation Graph (, )][DBLP]


  17. A CAD tool for RF MEMS devices. [Citation Graph (, )][DBLP]


  18. A 1.2GHz delayed clock generator for high-speed microprocessors. [Citation Graph (, )][DBLP]


  19. LVDS-type on-chip transmision line interconnect with passive equalizers in 90nm CMOS process. [Citation Graph (, )][DBLP]


  20. A slew-rate controlled output driver with one-cycle tuning time. [Citation Graph (, )][DBLP]


  21. A low-leakage current power 180-nm CMOS SRAM. [Citation Graph (, )][DBLP]


  22. A CMOS direct sampling mixer using Switched Capacitor Filter technique for software-defined radio. [Citation Graph (, )][DBLP]


  23. Small-area CMOS RF distributed mixer using multi-port inductors. [Citation Graph (, )][DBLP]


  24. Dynamic supply noise measurement circuit composed of standard cells suitable for in-site SoC power integrity verification. [Citation Graph (, )][DBLP]


  25. Duo-binary circular turbo decoder based on border metric encoding for WiMAX. [Citation Graph (, )][DBLP]


  26. Area and power efficient design of coarse time synchronizer and frequency offset estimator for fixed WiMAX systems. [Citation Graph (, )][DBLP]


  27. A low-cost cryptographic processor for security embedded system. [Citation Graph (, )][DBLP]


  28. Multithreaded coprocessor interface for multi-core multimedia SoC. [Citation Graph (, )][DBLP]


  29. Parameterized embedded in-circuit emulator and its retargetable debugging software for microprocessor/microcontroller/DSP processor. [Citation Graph (, )][DBLP]


  30. Global optimization of common subexpressions for multiplierless synthesis of multiple constant multiplications. [Citation Graph (, )][DBLP]


  31. Decomposition based approach for synthesis of multi-level threshold logic circuits. [Citation Graph (, )][DBLP]


  32. Timing-power optimization for mixed-radix Ling adders by integer linear programming. [Citation Graph (, )][DBLP]


  33. Efficient synthesis of compressor trees on FPGAs. [Citation Graph (, )][DBLP]


  34. Area recovery under depth constraint by Cut Substitution for technology mapping for LUT-based FPGAs. [Citation Graph (, )][DBLP]


  35. An optimal algorithm for sizing sequential circuits for industrial library based designs. [Citation Graph (, )][DBLP]


  36. Efficient numerical modeling of random rough surface effects for interconnect internal impedance extraction. [Citation Graph (, )][DBLP]


  37. Efficient techniques for 3-D impedance extraction using mixed boundary element method. [Citation Graph (, )][DBLP]


  38. Generating stable and sparse reluctance/inductance matrix under insufficient conditions. [Citation Graph (, )][DBLP]


  39. Hierarchical Krylov subspace reduced order modeling of large RLC circuits. [Citation Graph (, )][DBLP]


  40. Statistical noise margin estimation for sub-threshold combinational circuits. [Citation Graph (, )][DBLP]


  41. Symmetry-aware placement with transitive closure graphs for analog layout design. [Citation Graph (, )][DBLP]


  42. Constraint-free analog placement with topological symmetry structure. [Citation Graph (, )][DBLP]


  43. TCG-based multi-bend bus driven floorplanning. [Citation Graph (, )][DBLP]


  44. Large-scale fixed-outline floorplanning design using convex optimization techniques. [Citation Graph (, )][DBLP]


  45. Bus-aware microarchitectural floorplanning. [Citation Graph (, )][DBLP]


  46. LP based white space redistribution for thermal via planning and performance optimization in 3D ICs. [Citation Graph (, )][DBLP]


  47. Predictive models and CAD methodology for pattern dependent variability. [Citation Graph (, )][DBLP]


  48. Technology modeling and characterization beyond the 45nm node. [Citation Graph (, )][DBLP]


  49. Synergistic physical synthesis for manufacturability and variability in 45nm designs and beyond. [Citation Graph (, )][DBLP]


  50. MaizeRouter: Engineering an effective global router. [Citation Graph (, )][DBLP]


  51. A new global router for modern designs. [Citation Graph (, )][DBLP]


  52. Routability driven modification method of monotonic via assignment for 2-layer Ball Grid Array packages. [Citation Graph (, )][DBLP]


  53. Ordered escape routing based on Boolean satisfiability. [Citation Graph (, )][DBLP]


  54. MeshWorks: An efficient framework for planning, synthesis and optimization of clock mesh networks. [Citation Graph (, )][DBLP]


  55. Interconnect modeling for improved system-level design optimization. [Citation Graph (, )][DBLP]


  56. NoCOUT : NoC topology generation with mixed packet-switched and point-to-point networks. [Citation Graph (, )][DBLP]


  57. Automatic generation of hardware dependent software for MPSoCs from abstract system specifications. [Citation Graph (, )][DBLP]


  58. Application-specific Network-on-Chip architecture synthesis based on set partitions and Steiner Trees. [Citation Graph (, )][DBLP]


  59. Floating-point reconfiguration array processor for 3D graphics physics engine. [Citation Graph (, )][DBLP]


  60. Super-K: A SoC for single-chip ultra mobile computer. [Citation Graph (, )][DBLP]


  61. The evolution of SoC platform according to the new mobile paradigm. [Citation Graph (, )][DBLP]


  62. Statistical gate delay model for Multiple Input Switching. [Citation Graph (, )][DBLP]


  63. Non-Gaussian Statistical Timing models of die-to-die and within-die parameter variations for full chip analysis. [Citation Graph (, )][DBLP]


  64. Non-Gaussian statistical timing analysis using second-order polynomial fitting. [Citation Graph (, )][DBLP]


  65. A capacitive boosted buffer technique for high-speed process-variation-tolerant interconnect in UDVS application. [Citation Graph (, )][DBLP]


  66. Static timing: Back to our roots. [Citation Graph (, )][DBLP]


  67. Synthesis and design of parameter extractors for low-power pre-computation-based content-addressable memory using gate-block selection algorithm. [Citation Graph (, )][DBLP]


  68. Block cache for embedded systems. [Citation Graph (, )][DBLP]


  69. A Compiler-in-the-Loop framework to explore Horizontally Partitioned Cache architectures. [Citation Graph (, )][DBLP]


  70. Fast, quasi-optimal, and pipelined instruction-set extensions. [Citation Graph (, )][DBLP]


  71. Load scheduling: Reducing pressure on distributed register files for free. [Citation Graph (, )][DBLP]


  72. DPlace2.0: A stable and efficient analytical placement based on diffusion. [Citation Graph (, )][DBLP]


  73. Total power optimization combining placement, sizing and multi-Vt through slack distribution management. [Citation Graph (, )][DBLP]


  74. An innovative Steiner tree based approach for polygon partitioning. [Citation Graph (, )][DBLP]


  75. An MILP-based wire spreading algorithm for PSM-aware layout modification. [Citation Graph (, )][DBLP]


  76. Low power clock buffer planning methodology in F-D placement for large scale circuit design. [Citation Graph (, )][DBLP]


  77. Power grid analysis benchmarks. [Citation Graph (, )][DBLP]


  78. In-band mobile digital TV transmission technology for advanced television systems committee. [Citation Graph (, )][DBLP]


  79. In-vehicle vision processors for driver assistance systems. [Citation Graph (, )][DBLP]


  80. Multi-core DSP for base stations: Large and small. [Citation Graph (, )][DBLP]


  81. 1-cc computer using UWB-IR for wireless sensor network. [Citation Graph (, )][DBLP]


  82. Verifying full-custom multipliers by Boolean equivalence checking and an arithmetic bit level proof. [Citation Graph (, )][DBLP]


  83. A symbolic approach for mixed-signal model checking. [Citation Graph (, )][DBLP]


  84. Faster projection based methods for circuit level verification. [Citation Graph (, )][DBLP]


  85. A debug probe for concurrently debugging multiple embedded cores and inter-core transactions in NoC-based systems. [Citation Graph (, )][DBLP]


  86. A fast two-pass HDL simulation with on-demand dump. [Citation Graph (, )][DBLP]


  87. Hybrid solid-state disks: Combining heterogeneous NAND flash in large SSDs. [Citation Graph (, )][DBLP]


  88. Enabling run-time memory data transfer optimizations at the system level with automated extraction of embedded software metadata information. [Citation Graph (, )][DBLP]


  89. Automatic re-coding of reference code into structured and analyzable SoC models. [Citation Graph (, )][DBLP]


  90. Action coverage formulation for power optimization in body sensor networks. [Citation Graph (, )][DBLP]


  91. Dynamic scheduling of imprecise-computation tasks in maximizing QoS under energy constraints for embedded systems. [Citation Graph (, )][DBLP]


  92. Architecture-level thermal behavioral characterization for multi-core microprocessors. [Citation Graph (, )][DBLP]


  93. Full-chip thermal analysis for the early design stage via generalized integral transforms. [Citation Graph (, )][DBLP]


  94. A stochastic local hot spot alerting technique. [Citation Graph (, )][DBLP]


  95. Design rule optimization of regular layout for leakage reduction in nanoscale design. [Citation Graph (, )][DBLP]


  96. Investigation of diffusion rounding for post-lithography analysis. [Citation Graph (, )][DBLP]


  97. Pessimism reduction in coupling-aware static timing analysis using timing and logic filtering. [Citation Graph (, )][DBLP]


  98. A fast incremental clock skew scheduling algorithm for slack optimization. [Citation Graph (, )][DBLP]


  99. Clock tree synthesis with data-path sensitivity matching. [Citation Graph (, )][DBLP]


  100. Buffered clock tree synthesis for 3D ICs under thermal variations. [Citation Graph (, )][DBLP]


  101. A delay model for interconnect trees based on ABCD matrix. [Citation Graph (, )][DBLP]


  102. Analytical model for the impact of multiple input switching noise on timing. [Citation Graph (, )][DBLP]


  103. Determination of optimal polynomial regression function to decompose on-die systematic and random variations. [Citation Graph (, )][DBLP]


  104. Within-die process variations: How accurately can they be statistically modeled? [Citation Graph (, )][DBLP]


  105. Chebyshev Affine Arithmetic based parametric yield prediction under limited descriptions of uncertainty. [Citation Graph (, )][DBLP]


  106. Distribution arithmetic for stochastical analysis. [Citation Graph (, )][DBLP]


  107. Handling partial correlations in yield prediction. [Citation Graph (, )][DBLP]


  108. Reliability-aware design for nanometer-scale devices. [Citation Graph (, )][DBLP]


  109. An industrial perspective of power-aware reliable SoC design. [Citation Graph (, )][DBLP]


  110. The future of semiconductor industry - A foundry's perspective. [Citation Graph (, )][DBLP]


  111. Soft error rate reduction using redundancy addition and removal. [Citation Graph (, )][DBLP]


  112. Localized random access scan: Towards low area and routing overhead. [Citation Graph (, )][DBLP]


  113. A design- for-diagnosis technique for diagnosing both scan chain faults and combinational circuit faults. [Citation Graph (, )][DBLP]


  114. GECOM: Test data compression combined with all unknown response masking. [Citation Graph (, )][DBLP]


  115. Mixed integer linear programming-based optimal topology synthesis of cascaded crossbar switches. [Citation Graph (, )][DBLP]


  116. Automatic interface synthesis based on the classification of interface protocols of IPs. [Citation Graph (, )][DBLP]


  117. The Shining embedded system design methodology based on self dynamic reconfigurable architectures. [Citation Graph (, )][DBLP]


  118. Robust on-chip bus architecture synthesis for MPSoCs under random tasks arrival. [Citation Graph (, )][DBLP]


  119. A Multi-Processor NoC platform applied on the 802.11i TKIP cryptosystem. [Citation Graph (, )][DBLP]


  120. A unified methodology for power supply noise reduction in modern microarchitecture design. [Citation Graph (, )][DBLP]


  121. Heuristic power/ground network and floorplan co-design method. [Citation Graph (, )][DBLP]


  122. Vertical via design techniques for multi-layered P/G networks. [Citation Graph (, )][DBLP]


  123. Statistical mixed Vt allocation of body-biased circuits for reduced leakage variation. [Citation Graph (, )][DBLP]


  124. Exploring high-speed low-power hybrid arithmetic units at scaled supply and adaptive clock-stretching. [Citation Graph (, )][DBLP]


  125. Circuit lines for guiding the generation of random test sequences for synchronous sequential circuits. [Citation Graph (, )][DBLP]


  126. A new low energy BIST using a statistical code. [Citation Graph (, )][DBLP]


  127. On reducing both shift and capture power for scan-based testing. [Citation Graph (, )][DBLP]


  128. Robust test generation for power supply noise induced path delay faults. [Citation Graph (, )][DBLP]


  129. Test vector chains for increased targeted and untargeted fault coverage. [Citation Graph (, )][DBLP]


  130. Parallel fault backtracing for calculation of fault coverage. [Citation Graph (, )][DBLP]


  131. ReSP: A non-intrusive Transaction-Level Reflective MPSoC Simulation Platform for design space exploration. [Citation Graph (, )][DBLP]


  132. Collaborative hardware/software partition of coarse-grained reconfigurable system using evolutionary ant colony optimization. [Citation Graph (, )][DBLP]


  133. Design space exploration for a coarse grain accelerator. [Citation Graph (, )][DBLP]


  134. Efficient symbolic multi-objective design space exploration. [Citation Graph (, )][DBLP]


  135. Scalable unified dual-radix architecture for Montgomery multiplication in GF(P) and GF(2n). [Citation Graph (, )][DBLP]


  136. Optimal allocation and placement of thermal sensors for reconfigurable systems and its practical extension. [Citation Graph (, )][DBLP]


  137. Exploring power management in multi-core systems. [Citation Graph (, )][DBLP]


  138. Dependability, power, and performance trade-off on a multicore processor. [Citation Graph (, )][DBLP]


  139. High performance current-mode differential logic. [Citation Graph (, )][DBLP]


  140. NBTI induced performance degradation in logic and memory circuits: how effectively can we approach a reliability solution? [Citation Graph (, )][DBLP]


  141. Reaching the limits of low power design. [Citation Graph (, )][DBLP]


  142. Software-cooperative power-efficient heterogeneous multi-core for media processing. [Citation Graph (, )][DBLP]


  143. Experiences of low power design implementation and verification. [Citation Graph (, )][DBLP]


  144. Low power architecture and design techniques for mobile handset LSI MedityTM M2. [Citation Graph (, )][DBLP]


  145. An efficient, fully nonlinear, variability-aware non-monte-carlo yield estimation procedure with applications to SRAM cells and ring oscillators. [Citation Graph (, )][DBLP]


  146. Analog circuit simulation using range arithmetics. [Citation Graph (, )][DBLP]


  147. LTCC spiral inductor modeling, synthesis, and optimization. [Citation Graph (, )][DBLP]


  148. Symmetry constraint based on mismatch analysis for analog layout in SOI technology. [Citation Graph (, )][DBLP]


  149. SPKM : A novel graph drawing based algorithm for application mapping onto coarse-grained reconfigurable architectures. [Citation Graph (, )][DBLP]


  150. Block remap with turnoff: A variation-tolerant cache design technique. [Citation Graph (, )][DBLP]


  151. ORB: An on-chip optical ring bus communication architecture for multi-processor systems-on-chip. [Citation Graph (, )][DBLP]


  152. Webpage-based benchmarks for mobile device design. [Citation Graph (, )][DBLP]


  153. Panel: Best ways to use billions of devices on a chip. [Citation Graph (, )][DBLP]


  154. VEBoC: Variation and error-aware design for billions of devices on a chip. [Citation Graph (, )][DBLP]


  155. Quo vadis, BTSoC (Billion Transistor SoC)? [Citation Graph (, )][DBLP]


  156. Best ways to use billions of devices on a wireless mobile SoC. [Citation Graph (, )][DBLP]


  157. Best ways to use billions of devices on a chip - Error predictive, defect tolerant and error recovery designs. [Citation Graph (, )][DBLP]

NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002