The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Low Power Electronics and Design (islped)
2007 (conf/islped/2007)


  1. Nanotechnology for low-power and high-speed nanoelectronics applications. [Citation Graph (, )][DBLP]


  2. Compact modeling of carbon nanotube transistor for early stage process-design exploration. [Citation Graph (, )][DBLP]


  3. A floating-body dynamic supply boosting technique for low-voltage sram in nanoscale PD/SOI CMOS technologies. [Citation Graph (, )][DBLP]


  4. Low power FPGA design using hybrid CMOS-NEMS approach. [Citation Graph (, )][DBLP]


  5. Design and analysis of Thin-BOX FD/SOI devices for low-power and stable SRAM in sub-50nm technologies. [Citation Graph (, )][DBLP]


  6. Clocking structures and power analysis for nanomagnet-based logic devices. [Citation Graph (, )][DBLP]


  7. Energy efficient near-threshold chip multi-processing. [Citation Graph (, )][DBLP]


  8. Analysis of dynamic voltage/frequency scaling in chip-multiprocessors. [Citation Graph (, )][DBLP]


  9. Evaluating design tradeoffs in on-chip power management for CMPs. [Citation Graph (, )][DBLP]


  10. Impact of die-to-die and within-die parameter variations on the throughput distribution of multi-core processors. [Citation Graph (, )][DBLP]


  11. A reusability-aware cache memory sharing technique for high-performance low-power CMPs with private L2 caches. [Citation Graph (, )][DBLP]


  12. Dual signal frequencies and voltage levels for low power and temperature-gradient tolerant clock distribution. [Citation Graph (, )][DBLP]


  13. A robust edge encoding technique for energy-efficient multi-cycle interconnect. [Citation Graph (, )][DBLP]


  14. Low-power process-variation tolerant arithmetic units using input-based elastic clocking. [Citation Graph (, )][DBLP]


  15. Sleep transistor sizing and control for resonant supply noise damping. [Citation Graph (, )][DBLP]


  16. Thermal-aware methodology for repeater insertion in low-power VLSI circuits. [Citation Graph (, )][DBLP]


  17. Post-placement leakage optimization for partially dynamically reconfigurable FPGAs. [Citation Graph (, )][DBLP]


  18. Power optimal MTCMOS repeater insertion for global buses. [Citation Graph (, )][DBLP]


  19. Timing-driven row-based power gating. [Citation Graph (, )][DBLP]


  20. Detailed placement for leakage reduction using systematic through-pitch variation. [Citation Graph (, )][DBLP]


  21. Early power grid verification under circuit current uncertainties. [Citation Graph (, )][DBLP]


  22. Future of on-chip interconnection architectures. [Citation Graph (, )][DBLP]


  23. Towards a software approach to mitigate voltage emergencies. [Citation Graph (, )][DBLP]


  24. Improving disk reuse for reducing power consumption. [Citation Graph (, )][DBLP]


  25. PVS: passive voltage scaling for wireless sensor networks. [Citation Graph (, )][DBLP]


  26. A programming environment with runtime energy characterization for energy-aware applications. [Citation Graph (, )][DBLP]


  27. A process variation aware low power synthesis methodology for fixed-point FIR filters. [Citation Graph (, )][DBLP]


  28. Voltage- and ABB-island optimization in high level synthesis. [Citation Graph (, )][DBLP]


  29. Power-optimal RTL arithmetic unit soft-macro selection strategy for leakage-sensitive technologies. [Citation Graph (, )][DBLP]


  30. Power signal processing: a new perspective for power analysis and optimization. [Citation Graph (, )][DBLP]


  31. A 160 mV, fully differential, robust schmitt trigger based sub-threshold SRAM. [Citation Graph (, )][DBLP]


  32. A low-power SRAM using bit-line charge-recycling technique. [Citation Graph (, )][DBLP]


  33. Minimizing power dissipation during write operation to register files. [Citation Graph (, )][DBLP]


  34. An on-chip NBTI sensor for measuring PMOS threshold voltage degradation. [Citation Graph (, )][DBLP]


  35. Variable-latency adder (VL-adder): new arithmetic circuit design practice to overcome NBTI. [Citation Graph (, )][DBLP]


  36. Throughput of multi-core processors under thermal constraints. [Citation Graph (, )][DBLP]


  37. Dynamic voltage frequency scaling for multi-tasking systems using online learning. [Citation Graph (, )][DBLP]


  38. Thermal-aware task scheduling at the system software level. [Citation Graph (, )][DBLP]


  39. Thermal response to DVFS: analysis with an Intel Pentium M. [Citation Graph (, )][DBLP]


  40. Approximation algorithms for power minimization of earliest deadline first and rate monotonic schedules. [Citation Graph (, )][DBLP]


  41. The parallel computing landscape: a Berkeley view. [Citation Graph (, )][DBLP]


  42. Low power soft-output signal detector design for wireless MIMO communication systems. [Citation Graph (, )][DBLP]


  43. A low power multimedia SoC with fully programmable 3D graphics and MPEG4/H.264/JPEG for mobile devices. [Citation Graph (, )][DBLP]


  44. An architecture for energy efficient sphere decoding. [Citation Graph (, )][DBLP]


  45. On the selection of arithmetic unit structure in voltage overscaled soft digital signal processing. [Citation Graph (, )][DBLP]


  46. Low-power H.264/AVC baseline decoder for portable applications. [Citation Graph (, )][DBLP]


  47. A 0.4-V UWB baseband processor. [Citation Graph (, )][DBLP]


  48. Resource area dilation to reduce power density in throughput servers. [Citation Graph (, )][DBLP]


  49. Locality-driven architectural cache sub-banking for leakage energy reduction. [Citation Graph (, )][DBLP]


  50. A multi-model power estimation engine for accuracy optimization. [Citation Graph (, )][DBLP]


  51. A fast-transient over-sampled delta-sigma adaptive DC-DC converter for power-efficient noise-sensitive devices. [Citation Graph (, )][DBLP]


  52. High-efficiency synchronous dual-output switched-capacitor dc-dc converter with digital state machine control. [Citation Graph (, )][DBLP]


  53. A micro power management system and maximum output power control for solar energy harvesting applications. [Citation Graph (, )][DBLP]


  54. Advanced thermal sensing circuit and test techniques used in a high performance 65nm processor. [Citation Graph (, )][DBLP]


  55. Single inductor, multiple input, multiple output (SIMIMO) power mixer-charger-supply system. [Citation Graph (, )][DBLP]


  56. Vibration energy scavenging and management for ultra low power applications. [Citation Graph (, )][DBLP]


  57. Energy management of DVS-DPM enabled embedded systems powered by fuel cell-battery hybrid source. [Citation Graph (, )][DBLP]


  58. Design of an efficient power delivery network in an soc to enable dynamic power management. [Citation Graph (, )][DBLP]


  59. Energy-efficient and performance-enhanced disks using flash-memory cache. [Citation Graph (, )][DBLP]


  60. SAPP: scalable and adaptable peak power management in nocs. [Citation Graph (, )][DBLP]


  61. All watts considered. [Citation Graph (, )][DBLP]


  62. A 65-nm pulsed latch with a single clocked transistor. [Citation Graph (, )][DBLP]


  63. A methodology for analysis and verification of power gated circuits with correlated results. [Citation Graph (, )][DBLP]


  64. Vt balancing and device sizing towards high yield of sub-threshold static logic gates. [Citation Graph (, )][DBLP]


  65. Power-efficient LDPC code decoder architecture. [Citation Graph (, )][DBLP]


  66. A low-power CSCD asynchronous viterbi decoder for wireless applications. [Citation Graph (, )][DBLP]


  67. Reducing cache energy consumption by tag encoding in embedded processors. [Citation Graph (, )][DBLP]


  68. On reducing energy-consumption by late-inserting instructions into the issue queue. [Citation Graph (, )][DBLP]


  69. Power-aware operand delivery. [Citation Graph (, )][DBLP]


  70. On the latency, energy and area of checkpointed, superscalar register alias tables. [Citation Graph (, )][DBLP]


  71. Adaptive analog biasing: a robustness-enhanced low-power technique for analog baseband design. [Citation Graph (, )][DBLP]


  72. Slope interconnect effort: gate-interconnect interdependentdelay model for CMOS logic gates. [Citation Graph (, )][DBLP]


  73. Electromigration and voltage drop aware power grid optimization for power gated ICs. [Citation Graph (, )][DBLP]


  74. Reducing display power in DVS-enabled handheld systems. [Citation Graph (, )][DBLP]


  75. Multicasting based topology generation and core mapping for a power efficient networks-on-chip. [Citation Graph (, )][DBLP]


  76. Phase-aware adaptive hardware selection for power-efficient scientific computations. [Citation Graph (, )][DBLP]


  77. Signoff power methodology for contactless smartcards. [Citation Graph (, )][DBLP]


  78. An ilp based approach to reducing energy consumption in nocbased CMPS. [Citation Graph (, )][DBLP]

NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002