The SCEAS System
Navigation Menu

Conferences in DBLP

(apccas)
2006 (conf/apccas/2006)


  1. A Fully Differential 11mW 10-bit 200MS/s Sample and Hold in 0.25µm BiCMOS Technology. [Citation Graph (, )][DBLP]


  2. A New High Precision Low Offset Dynamic Comparator for High Resolution High Speed ADCs. [Citation Graph (, )][DBLP]


  3. A CMOS Differential Difference Amplifier with Reduced Nonlinearity Error of Interpolation for Interpolating ADCs. [Citation Graph (, )][DBLP]


  4. INL Prediction Method in Pipeline ADCs. [Citation Graph (, )][DBLP]


  5. Differential OPAMP with Inherent Common-Mode Control and Self-Biased Cascodes in 120nm CMOS. [Citation Graph (, )][DBLP]


  6. Improving Source-Follower Buffer for High-Speed ADC Testing. [Citation Graph (, )][DBLP]


  7. 0.7 V Monolithic CMOS LNA for 802.11 A/B WLAN Application. [Citation Graph (, )][DBLP]


  8. A New Linearity Enhancing Technique for Low Noise Amplifiers. [Citation Graph (, )][DBLP]


  9. A CMOS Current-Reused Transceiver with Stacked LNA and Mixer for WPAN. [Citation Graph (, )][DBLP]


  10. 2.4 GHz High IIP3 and Low-Noise Down-conversion Mixer. [Citation Graph (, )][DBLP]


  11. Compact Modeling of MOSFETs Channel Noise for Low-Noise RF ICs Design. [Citation Graph (, )][DBLP]


  12. An 8 GHz Variable Gain Low Noise Amplifier (VGLNA) Utilizing Parallel Inter-Stage Resonance. [Citation Graph (, )][DBLP]


  13. Digital Filter Design: Global Solutions via Polynomial Optimization. [Citation Graph (, )][DBLP]


  14. Design of Arbitrary FIR Digital Filters with Group Delay Constraint. [Citation Graph (, )][DBLP]


  15. Symmetry Development for Implementing Odd-Order Lagrange-Type Variable Fractional-Delay Filters. [Citation Graph (, )][DBLP]


  16. A Methodology for Automatic Hardware Synthesis of Multiplier-less Digital Filters with Prescribed Output Accuracy. [Citation Graph (, )][DBLP]


  17. A New Method for Designing Constrained Causal Stable IIR Variable Digital Filters. [Citation Graph (, )][DBLP]


  18. New Structures for Single Filter Based Frequency-Response Masking Approach. [Citation Graph (, )][DBLP]


  19. 3D Shape Acquisition and Arbitrary View Image Generation from Monocular Image Based on Primitive Decomposition. [Citation Graph (, )][DBLP]


  20. Cauchy based Rate-Distortion Optimization Model for H.264 Rate Control. [Citation Graph (, )][DBLP]


  21. A Fast Watermarking System for H.264/AVC Video. [Citation Graph (, )][DBLP]


  22. A New Efficient Approach for Removal of Impulse Noise for Color Images. [Citation Graph (, )][DBLP]


  23. A 0.18µm CMOS Gaussian Monocycle Pulse Circuit Design for UWB. [Citation Graph (, )][DBLP]


  24. VLSI Implementation of a 600-Mbps MIMO-OFDM Wireless Communication System. [Citation Graph (, )][DBLP]


  25. RaceCheck: A Race Logic Audit Program For SoC Designs. [Citation Graph (, )][DBLP]


  26. A Development and Validation Platform for Communication SOC Design. [Citation Graph (, )][DBLP]


  27. A Fast-Lock-In ADPLL with High-Resolution and Low-Power DCO for SoC Applications. [Citation Graph (, )][DBLP]


  28. Design of Adaptive Deblocking Filter for H.264/AVC Decoder SOC. [Citation Graph (, )][DBLP]


  29. An Adaptive Low-Power Control Scheme for On-Chip Network Applications. [Citation Graph (, )][DBLP]


  30. An Efficient Clocking Scheme for On-Chip Communications. [Citation Graph (, )][DBLP]


  31. Semi-Blind Time Domain Equalization for MIMO-OFDM Systems. [Citation Graph (, )][DBLP]


  32. Linear Precoding For MIMO STC-OFDM And Blind Channel Estimation. [Citation Graph (, )][DBLP]


  33. Multi-degree Random Cyclic Delay Diversity in MISO Systems with Frequency-Domain Scheduling. [Citation Graph (, )][DBLP]


  34. Throughput Maximization in Multiuser MIMO Downlink with Individual QoS Constraints. [Citation Graph (, )][DBLP]


  35. Iterative Symbol-by-symbol Decision Feedback Detection for MIMO-ISI Channels. [Citation Graph (, )][DBLP]


  36. An EM-Based Joint Channel Estimation and Data Detection for SIMO Systems. [Citation Graph (, )][DBLP]


  37. Development of a Wireless Sensor Network System for Power Constrained Applications. [Citation Graph (, )][DBLP]


  38. Design of a Long-Range Wireless Sensor Node. [Citation Graph (, )][DBLP]


  39. Hierarchical Decision-making of Multi-sensor System for State Estimation of Machining Process. [Citation Graph (, )][DBLP]


  40. An Optimized Scheme of Energy Consumption in Wireless Sensor MAC Protocol. [Citation Graph (, )][DBLP]


  41. Noise Analysis and Simulation of Chopper Amplifier. [Citation Graph (, )][DBLP]


  42. A Low-power 7-b 33-Msamples/s Switched-current Pipelined ADC for Motor Control. [Citation Graph (, )][DBLP]


  43. Low Power Current-Mode Algorithmic ADC in Half Flash (BCD). [Citation Graph (, )][DBLP]


  44. A Switched-Voltage High-Accuracy Sample/Hold Circuit. [Citation Graph (, )][DBLP]


  45. A 1-V 2.5-mW Transient-Improved Current-Steering DAC using Charge-Removal-Replacement Technique. [Citation Graph (, )][DBLP]


  46. Continuous Time Delta-Sigma Modulators with Arbitrary DAC Waveforms. [Citation Graph (, )][DBLP]


  47. A New Approach for DAC Non-linearity Compensation in Continuous Time Delta Sigma Modulators. [Citation Graph (, )][DBLP]


  48. Sub-1 V Current Mode CMOS Integrated Receiver Front-end for GPS System. [Citation Graph (, )][DBLP]


  49. High Power CMOS Power Amplifier for WCDMA. [Citation Graph (, )][DBLP]


  50. A Fast 1.9 GHz Fractional-N/Integer Frequency Synthesizer with a Self-tuning Algorithm. [Citation Graph (, )][DBLP]


  51. A CMOS Digitally Controlled RF Variable Gain Amplifier. [Citation Graph (, )][DBLP]


  52. A 3.125-GHz Limiting Amplifier for Optical Receiver System. [Citation Graph (, )][DBLP]


  53. A 2.4-GHz/5-GHz Low Power Pulse Swallow Counter in 0.18-µm CMOS Technology. [Citation Graph (, )][DBLP]


  54. Filterbank Framework for Multicarrier Systems with Improved Subcarrier Separation. [Citation Graph (, )][DBLP]


  55. Performance Analysis of the Deficient Length EDS Adaptive Algorithm. [Citation Graph (, )][DBLP]


  56. An Alternate Approach for Developing Higher Radix FFT Algorithms. [Citation Graph (, )][DBLP]


  57. Signature Verification using Velocity-based Directional Filter Bank. [Citation Graph (, )][DBLP]


  58. Multidimensional Parameters Estimation of Array Signal Based on Steering Vector. [Citation Graph (, )][DBLP]


  59. Wavelet Packet Transform for Scalable Audio Encoder. [Citation Graph (, )][DBLP]


  60. Design of a Low Power Architecture for CABAC Encoder in H.264. [Citation Graph (, )][DBLP]


  61. Frame Based Error Concealment in H.264/AVC by Refined Motion Prediction. [Citation Graph (, )][DBLP]


  62. Matched Block Detection and Motion Vector Salvage Methods for Fast H.264/AVC Inter Mode Decision. [Citation Graph (, )][DBLP]


  63. An Efficient Design-for-testability Scheme for 2-D Transform in H.264 Advanced Video Coders. [Citation Graph (, )][DBLP]


  64. Combined CAVLC Decoder and Inverse Quantizer for Efficient H.264/AVC Decoding. [Citation Graph (, )][DBLP]


  65. Modified MMSE DMC and Edge Reserving Concealment for Improving H.264 Error Resilience. [Citation Graph (, )][DBLP]


  66. An All-MOS High Linearity Voltage-to-Frequency Converter Chip with 520 KHz/V Sensitivity. [Citation Graph (, )][DBLP]


  67. An ASIC Implementation of Lifting-Based 2-D Discrete Wavelet Transform. [Citation Graph (, )][DBLP]


  68. VLSI Realization of Adaptive Equalizers of SIMO FIR Second Order Volterra Channels. [Citation Graph (, )][DBLP]


  69. Design and Implementation of a Schedulable DMAC on an AMBA-Based SOPC Platform. [Citation Graph (, )][DBLP]


  70. Implementation of the Gamma Line System Similar to Non-linear Gamma Curve with 2bit Error(LSB). [Citation Graph (, )][DBLP]


  71. Adiabatic Smart Card. [Citation Graph (, )][DBLP]


  72. Space-Time Decision-Directed Equalizer for SIMO Systems based on Affine Projection Algorithm. [Citation Graph (, )][DBLP]


  73. A Turbo-BLAST method with Non-Linear MMSE Detector for MIMO-OFDM systems. [Citation Graph (, )][DBLP]


  74. An Effective SLM-PRSC Hybrid Scheme for OFDM PAPR Reduction Based on Repeated Utilization of Identical PRSC Sequences in Time Domain. [Citation Graph (, )][DBLP]


  75. Efficient Buffer Management for Retry Mechanism in InfiniBand. [Citation Graph (, )][DBLP]


  76. Enhanced Degree Computationless Modified Euclid's Algorithm. [Citation Graph (, )][DBLP]


  77. A VLSI Design of High Speed Bit-level Viterbi Decoder. [Citation Graph (, )][DBLP]


  78. Global Convergence Analysis of Delayed Bidirectional Associative Memory Neural Networks. [Citation Graph (, )][DBLP]


  79. Using ANN To Predict The Best HUB Location. [Citation Graph (, )][DBLP]


  80. A Generic Architecture for Intelligent System Hardware. [Citation Graph (, )][DBLP]


  81. Recognition of Musical Instruments. [Citation Graph (, )][DBLP]


  82. Pareto based Multi-objective Mapping IP Cores onto NoC Architectures. [Citation Graph (, )][DBLP]


  83. Minimal Set of Essential Resource Disjoint Pairs for Exploring Feasible 3D Schedules. [Citation Graph (, )][DBLP]


  84. A Dual-Channel 6b 1GS/s 0.18um CMOS ADC for Ultra Wide-Band Communication Systems. [Citation Graph (, )][DBLP]


  85. A 4-bit 1.356 Gsps ADC Using Current Processing Method. [Citation Graph (, )][DBLP]


  86. A 6-bit 2.704Gsps DAC for DS-CDMA UWB. [Citation Graph (, )][DBLP]


  87. A 14b 100MS/s 3.4mm2 145mW 0.18um CMOS Pipeline A/D Converter. [Citation Graph (, )][DBLP]


  88. An I/Q channel 12 bit 120MS/s CMOS DAC with three stage thermometer decoders for WLAN. [Citation Graph (, )][DBLP]


  89. A 0.18µm CMOS Fully Differential RF Demodulator for FM-UWB Based P-PAN Receivers. [Citation Graph (, )][DBLP]


  90. A 0.18-µm CMOS UWB Low Noise Amplifier for Full-Band (3.1-10.6GHz) Application. [Citation Graph (, )][DBLP]


  91. 3~5 GHz Cascoded UWB Power Amplifier. [Citation Graph (, )][DBLP]


  92. A Fully Integrated 3 to 5 GHz CMOS Mixer with Active Balun for UWB Receiver. [Citation Graph (, )][DBLP]


  93. A Novel FFT Processor for OFDM UWB Systems. [Citation Graph (, )][DBLP]


  94. Design of Optimal Decimation and Interpolation Filters for Low Bit-Rate Image Coding. [Citation Graph (, )][DBLP]


  95. Multiple Description Image Coding With Hybrid Redundancy. [Citation Graph (, )][DBLP]


  96. Image Enhancement Algorithm for Hexagonal Cellular Neural Networks. [Citation Graph (, )][DBLP]


  97. Minimization of L2-Sensitivity for 2-D Separable-Denominator State-Space Digital Filters Subject to L2-Scaling Constraints. [Citation Graph (, )][DBLP]


  98. Design of Delta Operator Based 2-D IIR Filters Using Symmetrical Decomposition. [Citation Graph (, )][DBLP]


  99. A Low Complexity High Quality Interger Motion Estimation Architecture Design for H.264/AVC. [Citation Graph (, )][DBLP]


  100. Unequal-arm Adaptive Rood Pattern Search with Early Terminations For Fast Block-matching Motion Estimation on H.264. [Citation Graph (, )][DBLP]


  101. Motion Vector Estimation and Adatptive Refinement for the MPEG-4 to H.264/AVC Video Transcoder. [Citation Graph (, )][DBLP]


  102. Exploiting Reference Frame History in H.264/AVC Motion Estimation. [Citation Graph (, )][DBLP]


  103. Fast Motion Estimation Algorithm by Finite-State Side Match for H.264 Video Coding Standard. [Citation Graph (, )][DBLP]


  104. Transistor Realization of Reversible TSG Gate and Reversible Adder Architectures. [Citation Graph (, )][DBLP]


  105. Redundant Adders Consume Less Energy. [Citation Graph (, )][DBLP]


  106. A CAM/WTA-Based High Speed and Low Power Longest Prefix Matching Circuit Design. [Citation Graph (, )][DBLP]


  107. Design of Clocked Transmission Gate Adiabatic Logic Circuit Based on the 3ECEAC. [Citation Graph (, )][DBLP]


  108. A New Class AB Current-Mode Circuit for Low-Voltage Applications. [Citation Graph (, )][DBLP]


  109. A General Formulation of Analog-to-Digital Converters Using Parallel Sigma-Delta Modulators and Modulation Sequences. [Citation Graph (, )][DBLP]


  110. A Resolution- and Rate- Scalable Image Subband Coding Scheme with Backward Coding of Wavelet Trees. [Citation Graph (, )][DBLP]


  111. Design of Time Domain Equalizers Incorporating Radio Frequency Interference Suppression. [Citation Graph (, )][DBLP]


  112. Flexible Filter Bank Dimensioning for Multicarrier Modulation and Frequency Domain Equalization. [Citation Graph (, )][DBLP]


  113. Prototype Filter Design for a Cosine-Modulated Filterbank Transmultiplexer. [Citation Graph (, )][DBLP]


  114. VLSI Architecture for High-Speed / Low-Power Implementation of Multilevel Lifting DWT. [Citation Graph (, )][DBLP]


  115. Merged-Cascaded Systolic Array for VLSI Implementation of Discrete Wavelet Transform. [Citation Graph (, )][DBLP]


  116. DCT Sign Only Correlation and Its Application to Image Registration. [Citation Graph (, )][DBLP]


  117. Image Registration using Fractional Fourier Transform. [Citation Graph (, )][DBLP]


  118. Design of an Area Efficient High-Speed Color FDWT Processor. [Citation Graph (, )][DBLP]


  119. System Design of Implantable Micro-stimulator for Medical Treatments. [Citation Graph (, )][DBLP]


  120. A Wide-Range and High PSRR CMOS Voltage Reference for Implantable Device. [Citation Graph (, )][DBLP]


  121. Some Recent Developments in the Design of Biopotential Amplifiers for ENG Recording Systems. [Citation Graph (, )][DBLP]


  122. A Micropower CMOS Amplifier for Portable Surface EMG Recording. [Citation Graph (, )][DBLP]


  123. Low Power SAW-Based Oscillator for an Implantable Multisensor Microsystem. [Citation Graph (, )][DBLP]


  124. A Miniaturized, Power-Efficent Stimulator Output Stage Based on the Bridge Rectifier Circuit. [Citation Graph (, )][DBLP]


  125. A Low-Power Low-Voltage Amplifier for Heart Rate Sensor. [Citation Graph (, )][DBLP]


  126. Design of 3-4GHz Tunable Low Noise LC-QVCO for IEEE 802.11a WLAN Application. [Citation Graph (, )][DBLP]


  127. A 6.5-GHz LC VCO with Integrated-Transformer Tuning. [Citation Graph (, )][DBLP]


  128. A CMOS Dual-Band Voltage Controlled Oscillator. [Citation Graph (, )][DBLP]


  129. A Low-Voltage 2.4GHz VCO with 3D Helical Inductors. [Citation Graph (, )][DBLP]


  130. 0.8 V GPS band CMOS VCO with 29% Tuning Range. [Citation Graph (, )][DBLP]


  131. A Novel 16-bit CMOS Digitally Controlled Oscillator. [Citation Graph (, )][DBLP]


  132. A Wide Input-Range Sigma Delta Modulator for Applications to Spread-Spectrum Clock Generator. [Citation Graph (, )][DBLP]


  133. Bit-Serial Digital Filter Implementation using a Custom C Compiler. [Citation Graph (, )][DBLP]


  134. Towards an Efficient Simulation of Multi-Language Descriptions of Heterogeneous Systems. [Citation Graph (, )][DBLP]


  135. FPGA Prototyping of Spatio-temporal 2D IIR Broadband Beam Plane-wave Filters. [Citation Graph (, )][DBLP]


  136. Broadband Beamforming of Bandpass Plane Waves using 2D FIR Trapezoidal Filters at Baseband. [Citation Graph (, )][DBLP]


  137. Efficient Implementation of the Fast Filter Bank For Critically Decimated Systems. [Citation Graph (, )][DBLP]


  138. Optimized Design of Extrapolated Impulse Response FIR Filters with Raised-Cosine Windows. [Citation Graph (, )][DBLP]


  139. A High-Performance VLSI Architecture for Intra Prediction and Mode Decision in H.264/AVC Video Encoding. [Citation Graph (, )][DBLP]


  140. Memory Access Optimization of Motion Estimation Algorithms on a Native SIMD PLX Processor. [Citation Graph (, )][DBLP]


  141. Implementation of a H.264 decoder with Template-based Communication Refinement. [Citation Graph (, )][DBLP]


  142. Complexity Based Fast Coding Mode Decision for MPEG-2 / H.264 Video Transcoding. [Citation Graph (, )][DBLP]


  143. Low Complexity High Quality Fractional Motion Estimation Algorithm and Architecture Design for H.264/AVC. [Citation Graph (, )][DBLP]


  144. An Improved Soft-Input CAVLC Decoder for Mobile Communication Applications. [Citation Graph (, )][DBLP]


  145. High Performance Context Adaptive Variable Length Coding Encoder for MPEG-4 AVC/H.264 Video Coding. [Citation Graph (, )][DBLP]


  146. Asynchronous Design Methodology for an Efficient Implementation of Low power ALU. [Citation Graph (, )][DBLP]


  147. Low Power Multiplier Designs Based on Improved Column Bypassing Schemes. [Citation Graph (, )][DBLP]


  148. A High-Speed Baugh-Wooley Multiplier Design Using Skew-Tolerant Domino Techniques. [Citation Graph (, )][DBLP]


  149. Low Power Multiplier with Bypassing and Tree Strucuture. [Citation Graph (, )][DBLP]


  150. Asynchronous Design of Modular Multiplication Using Adaptive Radix Computation. [Citation Graph (, )][DBLP]


  151. New Bit-Parallel Systolic Multiplier over GF(2m) Using The Modified Booth's Algorithm. [Citation Graph (, )][DBLP]


  152. High-Performance Low-Power Full-Swing Full Adder Cores with Output Driving Capability. [Citation Graph (, )][DBLP]


  153. Advances in Global Optimization: Novel Function Transformation Approaches. [Citation Graph (, )][DBLP]


  154. A Filled Function Method for Box-constrained System of Nonlinear Equations. [Citation Graph (, )][DBLP]


  155. An Optimization Problem on Two-Partition of Jobs for Profit Allocation. [Citation Graph (, )][DBLP]


  156. A Recursive Digital Filter Design using Global Optimization Technique. [Citation Graph (, )][DBLP]


  157. Linear Incentive Contract for Principal-agent Problem with Asymmetric Information and Moral Hazard. [Citation Graph (, )][DBLP]


  158. Results on Exactness Properties of the HP-ALF for Inequality Constraints. [Citation Graph (, )][DBLP]


  159. A Total Unimodularity Based Branch-and-Bound Method for Integer Programming. [Citation Graph (, )][DBLP]


  160. Hybrid Dual-Operating-Mode PWM Based Sliding Mode Controllers for DC-DC Converters. [Citation Graph (, )][DBLP]


  161. Practical Implementation of Sliding Mode Control for Boost Converter. [Citation Graph (, )][DBLP]


  162. Current-Mode Converters with Adjustable-Slope Compensating Ramp. [Citation Graph (, )][DBLP]


  163. Steady-State Performance Analysis of Cascade Boost Converters. [Citation Graph (, )][DBLP]


  164. A Monolithic Boost Converter with an Adaptable Current-Limited PFM Scheme. [Citation Graph (, )][DBLP]


  165. On-Chip DC-DC Converter with Frequency Detector for Dynamic Voltage Scaling Technology. [Citation Graph (, )][DBLP]


  166. Embedded DC-DC Voltage Down Converter for Low-Power VLSI Chip. [Citation Graph (, )][DBLP]


  167. Design of a Wireless Power Supply Receiver for Biomedical Applications. [Citation Graph (, )][DBLP]


  168. A Novel DPWM Based on Fully Table Look-Up for High-Frequency Power Conversion. [Citation Graph (, )][DBLP]


  169. An Implantable SOC Chip for Micro-stimulating and Neural Signal Recording. [Citation Graph (, )][DBLP]


  170. A Novel Current Feed-back Sub-Nano-Siemen Transconductance Circuit Suitable for Large Time-Constant Bio-medical Applications. [Citation Graph (, )][DBLP]


  171. Design of Low-Frequency Low-Pass Filters for Biomedical Applications. [Citation Graph (, )][DBLP]


  172. A 140-dB CMRR Low-noise Instrumentation Amplifier for Neural Signal Sensing. [Citation Graph (, )][DBLP]


  173. Parallel Discovery of Transcription Factor Binding Sites. [Citation Graph (, )][DBLP]


  174. Low Power Bootstrapped CMOS Differential Cross Coupled Driver. [Citation Graph (, )][DBLP]


  175. A Wide Dynamic Range Four-Quadrant CMOS Analog Multiplier Using Active Feedback. [Citation Graph (, )][DBLP]


  176. Two Novel Phase-Frequency Detectors. [Citation Graph (, )][DBLP]


  177. Detailed Behavioral Modeling of Bang-Bang Phase Detectors. [Citation Graph (, )][DBLP]


  178. Fourier Series Analysis for Nonlinearities Due to the Power Supply Noise in Open-Loop Class D Amplifiers. [Citation Graph (, )][DBLP]


  179. An Analysis of THD in Class D Amplifiers. [Citation Graph (, )][DBLP]


  180. A BiCMOS Low Voltage Low Distortion Class AB Amplifier. [Citation Graph (, )][DBLP]


  181. A Genetic Algorithm Employing Correlative Roulette Selection for Optimization of FRM Digital Filters over CSD Multiplier Coefficient Space. [Citation Graph (, )][DBLP]


  182. Frequency-Response Masking Approach for Design of Intermediate Frequency Filters in CDMA and Wideband GSM Modules. [Citation Graph (, )][DBLP]


  183. On the Use of Lyapunov Functions for the Design of Complex FIR Digital Filters. [Citation Graph (, )][DBLP]


  184. An Algorithm for the Design of Multiplierless IIR Filters as a Parallel Connection of Two All-Pass Filters. [Citation Graph (, )][DBLP]


  185. Mitigation of Narrowband Interference in SC Transmission with Filter Bank Equalization. [Citation Graph (, )][DBLP]


  186. Theory, Lattice Structure and Design of Unequal Length Linear Phase Perfect Reconstruction Filter Banks with More Flexible Length Profile. [Citation Graph (, )][DBLP]


  187. Design of High-speed, Low-power FIR Filters with Fine-grained Cost Metrics. [Citation Graph (, )][DBLP]


  188. A CABAC Encoding Core with Dynamic Pipeline for H.264/AVC Main Profile. [Citation Graph (, )][DBLP]


  189. Predictive Mode Searching Policy for H.264/AVC Intra Prediction. [Citation Graph (, )][DBLP]


  190. Fast Picture and Macroblock Level Adaptive Frame/Field Coding for H.264. [Citation Graph (, )][DBLP]


  191. A Fast Macroblock Mode Decision Algorithm for H.264. [Citation Graph (, )][DBLP]


  192. Cost-Effective Hardware Sharing Architectures of Fast 8×8 and 4×4 Integer Transforms for H.264/AVC. [Citation Graph (, )][DBLP]


  193. New Encoding of 8×8 DCT to make H.264 Lossless. [Citation Graph (, )][DBLP]


  194. A Convex Optimization-Based Object-Level Rate Control Algorithm for MPEG-4 Video Object Coding. [Citation Graph (, )][DBLP]


  195. A Certain SA Solver TOSA for Global Placement. [Citation Graph (, )][DBLP]


  196. A Two-stage Incremental Floorplanning Algorithm with Boundary Constraints. [Citation Graph (, )][DBLP]


  197. Design Partitioning for Reducing Crosstalk Analysis Time. [Citation Graph (, )][DBLP]


  198. Replacement of Register with Delay Element for Reducing the Area of Pipelined Circuits. [Citation Graph (, )][DBLP]


  199. Area Recovery by Abutted Cell Placement: Can Fillers be Killers? An Eye-opening Viewpoint! [Citation Graph (, )][DBLP]


  200. Post-placement Thermal Via Planning for 3D Integrated Circuit. [Citation Graph (, )][DBLP]


  201. Tapping Point Numerical-Based Search for Exact Zero-Skew RLC Clock Tree Construction. [Citation Graph (, )][DBLP]


  202. Uniform DFT Filter Bank with Finite Precision Prototype Filters. [Citation Graph (, )][DBLP]


  203. Fourth-Order Discrete-Time Variable Centre Frequency Bandpass Sigma-Delta Modulator. [Citation Graph (, )][DBLP]


  204. Post-Filtering Techniques For Directive Non-Stationary Source Combined With Stationary Noise Utilizing Spatial Spectral Processing. [Citation Graph (, )][DBLP]


  205. Blind Subband Beamforming for Speech Enhancement of Multiple Speakers. [Citation Graph (, )][DBLP]


  206. An Optimisation Approach to Robust Estimation of Multicomponent Polynomial Phase Signals in Non-Gaussian Noise. [Citation Graph (, )][DBLP]


  207. Exploiting Concurrency in System-on-Chip Verification. [Citation Graph (, )][DBLP]


  208. Bistatic Ambiguity Function and DOA Estimation for PCL Radar. [Citation Graph (, )][DBLP]


  209. Single DC/AC CCFL Inverter for Large Size LCD TV with Burst Control. [Citation Graph (, )][DBLP]


  210. Fuzzy-based Active and Reactive Control for Brushless Doubly-fed Wind Power Generation System. [Citation Graph (, )][DBLP]


  211. Effects of PV Grid-Connected System Location on a Distribution System. [Citation Graph (, )][DBLP]


  212. The Calculation of the Voltage Distribution in Transformer Windings under VFTO Based on FDTD Method. [Citation Graph (, )][DBLP]


  213. A High Frequency Circuit Model for Current Transformer Based on the Scattering Parameter. [Citation Graph (, )][DBLP]


  214. High Level Synthesis with Multiple supply Voltages for Energy and Combined Peak Power Minimization. [Citation Graph (, )][DBLP]


  215. Peak Power Minimization through Power Management Scheduling. [Citation Graph (, )][DBLP]


  216. Development of a CMOS Imaging Device for Functional Imaging Inside the Mouse Brain. [Citation Graph (, )][DBLP]


  217. Stability and Compensation Technique for a CMOS Amperometric Potentiostat Circuit for Redox Sensors. [Citation Graph (, )][DBLP]


  218. A Linear LDO Regulator with Modified NMCF Frequency Compensation Independent of Off-chip Capacitor and ESR. [Citation Graph (, )][DBLP]


  219. Endothelial Cell Image Enhancement using Decimation-Free Directional Filter Banks. [Citation Graph (, )][DBLP]


  220. Testing the Quality of Magnetic Gradient Fields for Studying Self-Diffusion Processes in Biological Specimens by Magnetic Resonance Methods. [Citation Graph (, )][DBLP]


  221. Current-mode Universal Filter with Four Inputs and One Output using CDTAs. [Citation Graph (, )][DBLP]


  222. Current-mode Active-only Universal Filter. [Citation Graph (, )][DBLP]


  223. Independent Tunable-Q Current-mode OTA-C Universal Filter. [Citation Graph (, )][DBLP]


  224. Oscillation-based Test Method for Continuous-time OTA-C Filters. [Citation Graph (, )][DBLP]


  225. Synthesis of Delay Equalized Time-Varying Butterworth Filters. [Citation Graph (, )][DBLP]


  226. Multirate Filters: An Overview. [Citation Graph (, )][DBLP]


  227. Stepped Triangular CIC Filter for Rational Sample Rate Conversion. [Citation Graph (, )][DBLP]


  228. A Novel Systematic Approach for Synthesizing Multiplication-Free Highly-Selective FIR Half-Band Decimators and Interpolators. [Citation Graph (, )][DBLP]


  229. Implementation of Polyphase Decomposed FIR Filters for Interpolation and Decimation Using Multiple Constant Multiplication Techniques. [Citation Graph (, )][DBLP]


  230. On the Design of Cosine-Modulated Filter Banks Using Recurrent Frequency-Response Masking. [Citation Graph (, )][DBLP]


  231. The Dyadic Curvelet Transform for Multiscale Topological Complex Networks. [Citation Graph (, )][DBLP]


  232. Subword Parallel Architecture for Connected Component Labeling and Morphological Operations. [Citation Graph (, )][DBLP]


  233. Markov Chain Monte Carlo Super-resolution Image Reconstruction With Artifacts Suppression. [Citation Graph (, )][DBLP]


  234. An FPGA-Based Region-Growing Video Segmentation System with Boundary-Scan-Only LSI Architecture. [Citation Graph (, )][DBLP]


  235. A One-Dimensional Technique for Embedding Data in A JPEG Color Image. [Citation Graph (, )][DBLP]


  236. Techniques of Power-gating to Kill Sub-Threshold Leakage. [Citation Graph (, )][DBLP]


  237. Accurate and Fast Estimation of Junction Band-to-Band Leakage in Nanometer-Scale MOSFET. [Citation Graph (, )][DBLP]


  238. Leakage Optimized DECAP Design for FPGAs. [Citation Graph (, )][DBLP]


  239. Fine-grain Sleep Transistor Placement Considering Leakage Feedback Gate. [Citation Graph (, )][DBLP]


  240. A New Thermal-Conscious System-Level Methodology for Energy-Efficient Processor Voltage Selection. [Citation Graph (, )][DBLP]


  241. Jointly Optimized Modulated-Transmitter and Receiver FIR MIMO Filters. [Citation Graph (, )][DBLP]


  242. Comparison of Filter Bank Based Multicarrier Systems with OFDM. [Citation Graph (, )][DBLP]


  243. Nonlinear and Decision-Oriented Signal Processing for OFDM-Based Wireless Communications. [Citation Graph (, )][DBLP]


  244. A Real-Time Digital Baseband Channel Emulation System for OFDM Communications. [Citation Graph (, )][DBLP]


  245. Synchronization Issues in OFDM Systems. [Citation Graph (, )][DBLP]


  246. Footstep Recognition with Psyco-acoustics Parameter. [Citation Graph (, )][DBLP]


  247. A Robust Anti-collusion Coding in Digital Fingerprinting System. [Citation Graph (, )][DBLP]


  248. Automatic Detection and Segmentation of Text in Low Quality Thai Sign Images. [Citation Graph (, )][DBLP]


  249. Real-Time Implementation of a Particle Filter with Integrated Voice Activity Detector for Acoustic Speaker Tracking. [Citation Graph (, )][DBLP]


  250. Early Detection on the Condition of Pancreas Organ as the Cause of Diabetes Mellitus by Real Time Iris Image Processing. [Citation Graph (, )][DBLP]


  251. On Finding a Solution in the Core of a Multicommodity Flow Game on a Spider. [Citation Graph (, )][DBLP]


  252. Sequence-Pair Based Compaction under Equi-Length Constraint. [Citation Graph (, )][DBLP]


  253. Realizability of Score Sequence Pair of an (r1l, r12, r22)-Tournament. [Citation Graph (, )][DBLP]


  254. Kernel Extraction for Watermarking Combinational Logic Networks. [Citation Graph (, )][DBLP]


  255. Wavelet Packet Decomposition-Based Fuzzy Clustering Algorithm for Gene Expression Data. [Citation Graph (, )][DBLP]


  256. An Active-RC Complex Filter with Mixed Signal Tuning System for Low-IF Receiver. [Citation Graph (, )][DBLP]


  257. Generalized Bandpass Sampling with Complex FIR Filtering. [Citation Graph (, )][DBLP]


  258. A 0.18µm CMOS Receiver with Decision-feedback Equalization for Backplane Applications. [Citation Graph (, )][DBLP]


  259. The Analysis of Phase-jitter Variance in the Third-order CPPLL Frequency Synthesizer. [Citation Graph (, )][DBLP]


  260. An Efficient Realization of the Decision Feedback Equalizer using Block Floating Point Arithmetic. [Citation Graph (, )][DBLP]


  261. Frequency Transformations of IIR Filters with Filter Bank Applications. [Citation Graph (, )][DBLP]


  262. Adjustable Fractional-Delay FIR Filters Using the Farrow Structure and Multirate Techniques. [Citation Graph (, )][DBLP]


  263. Parallelisation of Digital Signal Processing in Uniform and Reconfigurable Filter Banks for Satellite Communications. [Citation Graph (, )][DBLP]


  264. Design of Real and Complex Linear-Phase IIR Modified QMF Banks. [Citation Graph (, )][DBLP]


  265. A Determination Method for Initial Values of Coplanar Camera Calibration Parameters. [Citation Graph (, )][DBLP]


  266. Implementation of an AMBA-Compliant IP for H.264 Transform and Quantization. [Citation Graph (, )][DBLP]


  267. VSIP : Implementation of Video Specific Instruction-set Processor. [Citation Graph (, )][DBLP]


  268. An Implementation of H.264 Intra Predictor Based on Sub-sampling. [Citation Graph (, )][DBLP]


  269. SoC Design of Speaker Connection System by Efficient Cosimulation. [Citation Graph (, )][DBLP]


  270. On the Configurable Multiprocessor SoC Platform with Crossbar Switch. [Citation Graph (, )][DBLP]


  271. DFM-aware Routing for Yield Enhancement. [Citation Graph (, )][DBLP]


  272. A Spectral Stochastic Collocation Method for Capacitance Extraction of Interconnects with Process Variations. [Citation Graph (, )][DBLP]


  273. Three DFM Challenges: Random Defects, Thickness Variation, and Printability Variation. [Citation Graph (, )][DBLP]


  274. A Hitchhiker's Guide to the DFM Universe. [Citation Graph (, )][DBLP]


  275. Prospects and Challenges of Handling Power Bus Modeling and Supply Noise in Package-Chip C0-design Approach. [Citation Graph (, )][DBLP]


  276. A Low-power 4-T SAM Design for OFDM Demodulators in DVB Receiversers. [Citation Graph (, )][DBLP]


  277. Pipelined Parallel Architectures for High Throughput Turbo Decoding. [Citation Graph (, )][DBLP]


  278. Linear Adaptive Blind Equalizers of Non Linear SIMO FIR Channels. [Citation Graph (, )][DBLP]


  279. Design of a Dynamic PCM Selector for Non-deterministic Environment. [Citation Graph (, )][DBLP]


  280. FPGA-Based Design of a Pulsed-OFDM System. [Citation Graph (, )][DBLP]


  281. Edge Detection on the Bayer Pattern. [Citation Graph (, )][DBLP]


  282. License Plate Localization of Moving Vehicles in Complex Scene. [Citation Graph (, )][DBLP]


  283. Study of Real-Time Detecting System for Driver's Safety. [Citation Graph (, )][DBLP]


  284. Feature Point Tracking for Car Speed Measurement. [Citation Graph (, )][DBLP]


  285. Video Vehicle Detection Algorithm based on Virtual-Line Group. [Citation Graph (, )][DBLP]


  286. New Reconfiguration Algorithm for Degradable VLSI Arrays. [Citation Graph (, )][DBLP]


  287. Automatic Identification of Custom Functions for Embedded Processors with MIMO Extensions. [Citation Graph (, )][DBLP]


  288. A Clustering ILP Model for Fast Instruction Selection in Embedded Applicated Specific Processor Design. [Citation Graph (, )][DBLP]


  289. Optically Reconfigurable Gate Arrays vs. ASICs. [Citation Graph (, )][DBLP]


  290. A Reconfigurable Multi-Modulus Modulo Multiplier. [Citation Graph (, )][DBLP]


  291. A Reconfigurable Satlin/Sigmoid/Gaussian/Triangular Basis Functions Computation Circuit. [Citation Graph (, )][DBLP]


  292. Matrix Inversion on Reconfigurable Hardware using Binary-coded z-path CORDIC. [Citation Graph (, )][DBLP]


  293. A New Choice of Influence Function for Robust Multiuser Detection in Non-Gaussian Channels. [Citation Graph (, )][DBLP]


  294. A Combined Residual Frequency and Sampling Clock Offset Estimation for OFDM Systems. [Citation Graph (, )][DBLP]


  295. MPOE Based Prefiltering and MRT Beamforming for DS-CDMA Systems. [Citation Graph (, )][DBLP]


  296. Interference Suppression in DS-SS Systems with Modified Discrete Fourier Transform. [Citation Graph (, )][DBLP]


  297. Even-order Distortion Rejection Technique for Self-homodyne OFDM Systems. [Citation Graph (, )][DBLP]


  298. Hardware Architecture of Improved Tomlinson-Harashima Precoding for Downlink MC-CDMA. [Citation Graph (, )][DBLP]


  299. Maximum Likelihood Timing and Carrier Frequency Offset Estimation for OFDM systems with Periodic Preambles. [Citation Graph (, )][DBLP]


  300. Inverse Tangent Based Adaptive IIR Notch Filter. [Citation Graph (, )][DBLP]


  301. Multi-Standard Delta-Sigma Decimation Filter Design. [Citation Graph (, )][DBLP]


  302. A Closed Form Solution to L2-Sensitivity Minimization of Second-Order Digital Filters Subject to L2-Scaling Constraints. [Citation Graph (, )][DBLP]


  303. Gramian-Preserving Frequency Transformation for State-Space Digital Filters. [Citation Graph (, )][DBLP]


  304. Fully-multiplexed First-order 3D IIR Frequency-Planar Filter Module. [Citation Graph (, )][DBLP]


  305. On the Properties And Design of Stable IIR Transfer Functions Generated Using Fibonnaci Numbers. [Citation Graph (, )][DBLP]


  306. Multirate Filter Bank-based Conversion of Image Resolution. [Citation Graph (, )][DBLP]


  307. Enhanced Partial Distortion Sorting Fast Motion Estimation Algorithm for Low-Power Applications. [Citation Graph (, )][DBLP]


  308. Multiple-Symbol Parallel CAVLC Decoder for H.264/AVC. [Citation Graph (, )][DBLP]


  309. A Memory Bandwidth Optimized Interpolator for Motion Compensation in the H.264 Video Decoding. [Citation Graph (, )][DBLP]


  310. A Fast Algorithm and Its Architecture for Motion Estimation in MPEG-4 AVC/H.264 Video Coding. [Citation Graph (, )][DBLP]


  311. Priority-Based Normalized Partial Distortion Search Algorithm for Fast Motion Estimation. [Citation Graph (, )][DBLP]


  312. A Subsample-based Motion Estimation for Quality-Stationary Video Coding. [Citation Graph (, )][DBLP]


  313. A High Throughput and Data Reuse Architecture for H.264/AVC Deblocking Filter. [Citation Graph (, )][DBLP]


  314. GA-Based Assignment of Supply and Threshold Voltages and Interconnection Simplification for Low Power VLSI Design. [Citation Graph (, )][DBLP]


  315. The Multiple Point Global Lanczos Method for MIMO Interconnect Model-Order Reductions. [Citation Graph (, )][DBLP]


  316. Low-Power Bus Transform Coding for Multilevel Signals. [Citation Graph (, )][DBLP]


  317. Width and Timing-Constrained Wire Sizing for Critical Area Minimization. [Citation Graph (, )][DBLP]


  318. Optimizing Interconnect for Performance in Standard Cell Library. [Citation Graph (, )][DBLP]


  319. Propagation Delay Minimization on RLC-Based Bus with Repeater Insertion. [Citation Graph (, )][DBLP]


  320. Optimal Network Analysis in Hierarchical Power Quad-Grids. [Citation Graph (, )][DBLP]


  321. A Low-Power Technique Based on Charge Injection and Current-Saving Methods for Match-Line Sensing in Content-Addressable Memories. [Citation Graph (, )][DBLP]


  322. Unified Data/Instruction Cache with Hierarchical Multi-Port Architecture and Hidden Precharge Pipeline. [Citation Graph (, )][DBLP]


  323. Low Power Pre-Comparison Scheme for NOR-Type 10T Content Addressable Memory. [Citation Graph (, )][DBLP]


  324. Multiple-valued SRAM with FG-MOSFETs. [Citation Graph (, )][DBLP]


  325. Fully Parallel Associative Memory Architecture with Mixed Digital-Analog Match Circuit for Nearest Euclidean Distance Search. [Citation Graph (, )][DBLP]


  326. An Automatic Cache Generator Based on Content-Addressable Memory. [Citation Graph (, )][DBLP]


  327. Memory-Efficient Accelerating Schedule for LDPC Decoder. [Citation Graph (, )][DBLP]


  328. WLAN Location Determination Systems. [Citation Graph (, )][DBLP]


  329. Design of AND and NAND Logic Gate Using NDR-BASED Circuit Suitable for CMOS Process. [Citation Graph (, )][DBLP]


  330. On an Efficient Closed Form Expression to Estimate the Crosstalk Noise in the Circuit with Multiple Wires. [Citation Graph (, )][DBLP]


  331. Applications of the Superposition Theorem to Nonlinear Resistive Circuits. [Citation Graph (, )][DBLP]


  332. New Criteria Enhancing Robustness and Efficiency of Solving Systems of Circuit Equations. [Citation Graph (, )][DBLP]


  333. Phase Hits Insensitive CSRO. [Citation Graph (, )][DBLP]


  334. Design of Interconnected Bus for Low Power Based on Boolean Process. [Citation Graph (, )][DBLP]


  335. Power Analysis for the MOS AC/DC Rectifier of Passive RFID Transponders. [Citation Graph (, )][DBLP]


  336. Reliability Improvement of a Distribution System Using PV Grid Connected System with Tie Switch. [Citation Graph (, )][DBLP]


  337. FACTS Devices Applications on Power System to Improve the Angle Stability. [Citation Graph (, )][DBLP]


  338. Generalized Steady-State Analysis on Developed Series of Cascade Boost Converters. [Citation Graph (, )][DBLP]


  339. Implementation of a Symbolic Circuit Simulator for Topological Network Analysis. [Citation Graph (, )][DBLP]


  340. Controllability Gramian for Optimal Placement of Power System Stabilizers in Power Systems. [Citation Graph (, )][DBLP]


  341. Load Share Controller IC and Its Control Strategy Design. [Citation Graph (, )][DBLP]


  342. Novel High Speed and Low Power Single and Double Edge-Triggered Flip-Flops. [Citation Graph (, )][DBLP]


  343. New Methods for QDDFS with Millions' Compression Ratio. [Citation Graph (, )][DBLP]


  344. The Inverse Matrix for the Conversion Between Standard and Normal Bases. [Citation Graph (, )][DBLP]


  345. Optimum Supply and Threshold Voltages and Transistor Sizing Effects on Low Power SOI Circuit Design. [Citation Graph (, )][DBLP]


  346. Design of Dynamically Assignmentable TAM Width for Testing Core-Based SOCs. [Citation Graph (, )][DBLP]


  347. A Novel Low Power NOR gate in SOI CMOS Technology. [Citation Graph (, )][DBLP]


  348. Circuit Area-latency Optimization Technique for High-precision Elementary Functions. [Citation Graph (, )][DBLP]


  349. High-Level Synthesis for Self-Timed Systems. [Citation Graph (, )][DBLP]


  350. Generation of Fixed Polarity Arithmetic Spectra for Ternary Functions. [Citation Graph (, )][DBLP]


  351. Efficient Implementation of AES IP. [Citation Graph (, )][DBLP]


  352. Impacts of Inductance on the Figures of Merit to Optimize Global Interconnect. [Citation Graph (, )][DBLP]


  353. Optical Interconnect Technology; Photons Based Signal Communication. [Citation Graph (, )][DBLP]


  354. Low Power Combinational Multipliers using Data-driven Signal Gating. [Citation Graph (, )][DBLP]


  355. Synthesis of Finite State Machines for Low Power and Testability. [Citation Graph (, )][DBLP]


  356. An Efficient Self-Transposing Memory Structure for 32-bit Video Processors. [Citation Graph (, )][DBLP]


  357. Highly Linear and Efficient AlGaAs/GaAs HBT Power Amplifier with Integrated Linearizer. [Citation Graph (, )][DBLP]


  358. A Fast Bit-Interleaving RSA Cryptosystem Based on Radix-4 Cellular-Array Modular Multiplier. [Citation Graph (, )][DBLP]


  359. A 1V 2.4GHz Down Conversion Folded Mixer. [Citation Graph (, )][DBLP]


  360. Analysis and Design of High Performance, Low Power Multiple Ports Register Files. [Citation Graph (, )][DBLP]


  361. Modeling a Digital Hearing Instrument for Developing and Evaluating Adaptive Feedback Cancellation Algorithms. [Citation Graph (, )][DBLP]


  362. On-Chip Supply Voltage Measurement Technique. [Citation Graph (, )][DBLP]


  363. A Compact Equivalent Circuit Model of HVLDMOS and Application in HIVC Design. [Citation Graph (, )][DBLP]


  364. The Setup of Artifical Neural Network Model for Estimating the Insulator Pollution Degree. [Citation Graph (, )][DBLP]


  365. Low-Power Exponential V-I Converter Using Composite PMOS Transistors. [Citation Graph (, )][DBLP]


  366. Five-State Logic Using MOS-HBT-NDR Circuit by Standard SiGe BiCMOS Process. [Citation Graph (, )][DBLP]


  367. Simplification of Exclusive-or Sum-of-Products Expressions Through Function Transformation. [Citation Graph (, )][DBLP]


  368. 2PADCL: Two Phase drive Adiabatic Dynamic CMOS Logic. [Citation Graph (, )][DBLP]


  369. Stacked Active Loads For Low Power, High Speed GaAs Digital Circuits (SALFL). [Citation Graph (, )][DBLP]


  370. Low Complexity Architecture for Multiplicative Inversion in GF(2m). [Citation Graph (, )][DBLP]


  371. An Area-Efficient Design for Modular Inversion in GF(2m). [Citation Graph (, )][DBLP]


  372. Physics-based Modeling and Simulation of Dual Material Gate(DMG) LDMOS. [Citation Graph (, )][DBLP]


  373. Low Power BDD-based Synthesis Using Dual Rail Static DCVSPG Logic. [Citation Graph (, )][DBLP]


  374. Analysis and Measurement of Cross Modulation Distortion in WCDMA Receivers. [Citation Graph (, )][DBLP]


  375. A High-Speed Low-Complexity VLSI SISO Architecture. [Citation Graph (, )][DBLP]


  376. Frequency Synthesizer for Wireless Applications using TDTL. [Citation Graph (, )][DBLP]


  377. Adaptive ZCDPLL for Quadrature-Quadrature PSK Carrier Recovery. [Citation Graph (, )][DBLP]


  378. Digital GFSK Carrier Synchronization. [Citation Graph (, )][DBLP]


  379. A Novel Neural Network GA-Optimized Controller for QoS Support in Wireless MACs. [Citation Graph (, )][DBLP]


  380. Performance Analysis of Successive Interference Cancellation in Multiuser CDMA over Flat Channels. [Citation Graph (, )][DBLP]


  381. Reduced-Complexity Concurrent Systolic Implementation of the Discrete Sine Transform. [Citation Graph (, )][DBLP]


  382. Area/Delay Efficient Recoding Methods for Parallel CORDIC Rotations. [Citation Graph (, )][DBLP]


  383. Ultra Low Power Weak Inversion Current Steered Digital to Analog Converter. [Citation Graph (, )][DBLP]


  384. Low Power FIR Filter Realization using Minimal Difference Coefficients: Part I - Complexity Analysis. [Citation Graph (, )][DBLP]


  385. Low Power FIR Filter Realization Using Minimal Difference Coefficients: Part II - Algorithm. [Citation Graph (, )][DBLP]


  386. A Comparison of Pipelined RAG-n and DA FPGA-based Multiplierless Filters. [Citation Graph (, )][DBLP]


  387. A Fully Pipelined Multiplierless Architecture for 2D Convolution with Quadrant Symmetric Kernels. [Citation Graph (, )][DBLP]


  388. Constructing Better Partial Sums Based on Energy-Maximum Criterion for Fast Encoding of VQ. [Citation Graph (, )][DBLP]


  389. A Self-Grouping and Table-Merging Algorithm for VLC-Based Video Decoding System. [Citation Graph (, )][DBLP]


  390. A Quick Scene Search with Constructed Mapped Charts for TV Sport Programs. [Citation Graph (, )][DBLP]


  391. Accelearation of Full-Search Algorithm on SIMD Architectures by Using Eight-Bit Partial Sums of Four Luminance Values. [Citation Graph (, )][DBLP]


  392. A Fast Hexagon-Based Search Algorithm on SIMD Architectures. [Citation Graph (, )][DBLP]


  393. Robust Scalable Video Transmission using Object-Oriented Unequal Loss Protection over Internet. [Citation Graph (, )][DBLP]


  394. The VLSI Design of Motion Adaptive De-interlacing with Horizontal and Vertical Motions Detection. [Citation Graph (, )][DBLP]


  395. A Novel Input Stage Based on DTMOS for Low-Voltage Low-Noise Operational Amplifier. [Citation Graph (, )][DBLP]


  396. A Frequency Compensation Technique for Variable Output Low Dropout Regulators. [Citation Graph (, )][DBLP]


  397. Design and Analysis of a VHF OTA-C Cell for Optimum Phase Response. [Citation Graph (, )][DBLP]


  398. Separation of Individual Noise Sources from Compound Noise Measurements in Digital Circuits. [Citation Graph (, )][DBLP]


  399. Model-Order Reduction Algorithm with Structure Preserving Techniques. [Citation Graph (, )][DBLP]


  400. Low-Power Crosstalk Avoidance Encoding for On-Chip Data Buses. [Citation Graph (, )][DBLP]


  401. Sensitivity Analysis of Uniform and Nonuniform Transmission Lines. [Citation Graph (, )][DBLP]


  402. Operation Scheduling for False Loop Free Circuits. [Citation Graph (, )][DBLP]


  403. Fixed Polarity Arithmetic Expansions Calculation from Disjoint Cubes Representation of Ternary Functions. [Citation Graph (, )][DBLP]


  404. Disjoint Cubes Generation Algorithm for Multiple-Valued Functions. [Citation Graph (, )][DBLP]


  405. Efficient Pass-Transistor-Logic Synthesis for Sequential Circuits. [Citation Graph (, )][DBLP]


  406. Variational Circuit Simulator based on a Unified Methodology using Arithmetic over Taylor Polynomials. [Citation Graph (, )][DBLP]


  407. A Method for the Correction of N-Ports Scattering Parameters Measurement. [Citation Graph (, )][DBLP]


  408. Fast Conversion for Large Canonical OR-Coincidence Functions. [Citation Graph (, )][DBLP]


  409. A Simple Synthesis Technique of PWM Signal. [Citation Graph (, )][DBLP]


  410. Real-Time Image Stabilization for Digital Video Cameras. [Citation Graph (, )][DBLP]


  411. Unified Signed-Digit Number Adder for RSA and ECC Public-key Cryptosystems. [Citation Graph (, )][DBLP]


  412. An Efficient Algorithm for DPA-resistent RSA. [Citation Graph (, )][DBLP]


  413. A 1.6GHz Downconverter Mixer in 0.25µm CMOS. [Citation Graph (, )][DBLP]


  414. Translinear Loop Principle and Identification of the Translinear Loops. [Citation Graph (, )][DBLP]


  415. A 12-bit CMOS Current Steering D/A Converter for Embedded Systems. [Citation Graph (, )][DBLP]


  416. An FPGA Implementation of Array LDPC Decoder. [Citation Graph (, )][DBLP]


  417. A 2.4-GHz CMOS Tunable Image-Rejection Low-Noise Amplifier with Active Inductor. [Citation Graph (, )][DBLP]


  418. A Real-time Boat Surveillance System Using GPRS. [Citation Graph (, )][DBLP]


  419. Non-Data Aided SBIB Receiver. [Citation Graph (, )][DBLP]


  420. Single Amplifier Sigma Delta Modulator With Input Feedforward. [Citation Graph (, )][DBLP]


  421. A Tunable Ultra-Wideband Pulse Generator Using a Variable Edge-Rate Signal. [Citation Graph (, )][DBLP]


  422. Design and VLSI Architecture of a Channel Equalizer Based on Adaptive Modulation for IEEE 802.11a WLAN. [Citation Graph (, )][DBLP]


  423. The Design of Anti-collision Mechanism of UHF RFID System based on CDMA. [Citation Graph (, )][DBLP]


  424. On The Realization of Active MURC Filter wth a Single Pole Amplifier. [Citation Graph (, )][DBLP]


  425. Error Concealment Using Digital Watermarking. [Citation Graph (, )][DBLP]


  426. An Iterative Super-Resolution Reconstruction of Image Sequences using Fast Affine Block-Based Registration with BTV Regularization. [Citation Graph (, )][DBLP]


  427. Power Consumption in Handheld Computers. [Citation Graph (, )][DBLP]


  428. From Software to Hardware - A Novel TLM Auto-Generating Method. [Citation Graph (, )][DBLP]


  429. Improved Robust Multiuser Detection in Non-Gaussian Channels Using a New M-Estimator and Spatiotemporal Chaotic Spreading Sequences. [Citation Graph (, )][DBLP]


  430. Generation of Panoramic Image from Aerial Video utilizing JP2K Wavelet for River Surveillance. [Citation Graph (, )][DBLP]


  431. A Harmonic Reduction Scheme in SPWM. [Citation Graph (, )][DBLP]


  432. Water Level Detection for River Surveillance utilizing JP2K Wavelet Transform. [Citation Graph (, )][DBLP]


  433. Dual Mode Architecture for Deblocking Filtering in H.264/AVC Video Coding. [Citation Graph (, )][DBLP]


  434. Efficient Hardware Implementation for H.264/AVC Motion Estimation. [Citation Graph (, )][DBLP]


  435. Design and Implementation of a 2-level FSK Digital Modems Using CORDIC Algorithm. [Citation Graph (, )][DBLP]


  436. Power Management in Circuits Design. [Citation Graph (, )][DBLP]


  437. A Novel Structure of Conjunction Decision Feedback Equalizer for Nonlinear Channels. [Citation Graph (, )][DBLP]


  438. A Novel Method for Systematic Error Prediction of CMOS Folding and Interpolating ADC. [Citation Graph (, )][DBLP]


  439. Low Voltage Analogue Multiplier. [Citation Graph (, )][DBLP]


  440. A Low-power Tunable Bandpass Amplifier for RF Applications. [Citation Graph (, )][DBLP]


  441. Low Voltage High-Performance Class-AB FGMOS Buffer. [Citation Graph (, )][DBLP]


  442. Electrocardiogram Analysis with Adaptive Feature Selection and Support Vector Machines. [Citation Graph (, )][DBLP]


  443. Optimizing High Speed Flip-Flop Using Genetic Algorithm. [Citation Graph (, )][DBLP]


  444. Engery-Efficient Double-Edge Triggered Flip-Flop Design. [Citation Graph (, )][DBLP]


  445. A 0.9V 10GHz 71µW Static D Flip-flop by using FinFET Devices. [Citation Graph (, )][DBLP]


  446. Another Look at the Sequential Multiplier over Normal Bases. [Citation Graph (, )][DBLP]


  447. A Multi-Context FPGA Using a Floating-Gate-MOS Functional Pass-Gate and Its CAD Environment. [Citation Graph (, )][DBLP]


  448. Level Selection Based 4-PAM Transmitter for Chip to Chip Communication. [Citation Graph (, )][DBLP]


  449. Research of Phase-Inversion Symmetric Modulation Based on DSB Communication System. [Citation Graph (, )][DBLP]


  450. Digital Audio Broadcasting System Modeling and Hardware Implementation. [Citation Graph (, )][DBLP]


  451. Rapid Acquisition of Ultra-Wideband Signals in Multipath Environments. [Citation Graph (, )][DBLP]


  452. Digital Network Echo Cancellation Using Genetic Algorithm and Combined GA-LMS Method. [Citation Graph (, )][DBLP]


  453. Chua Circuit Based Reconfigurable Computing System. [Citation Graph (, )][DBLP]


  454. Unscented Kalman Filter and Particle Filter for Chaotic Synchronization. [Citation Graph (, )][DBLP]


  455. Exploiting Chaos for Computation. [Citation Graph (, )][DBLP]


  456. Reconfigurable Logic Element using a Chaotic Circuit. [Citation Graph (, )][DBLP]


  457. Exploiting Nonlinear Dynamics to Search for the Existence of Matches in a Database. [Citation Graph (, )][DBLP]


  458. Codeblock-Based Concealment Scheme for JPEG2000 Images in Lossy Packet Networks. [Citation Graph (, )][DBLP]


  459. Progressive Technique for Rate Distortion Optimization in JPEG2000. [Citation Graph (, )][DBLP]


  460. 4K SHD Real-Time Video Streaming System With JPEG 2000 Parallel Codec. [Citation Graph (, )][DBLP]


  461. Application of Multi-ported CAM for Parallel Coding. [Citation Graph (, )][DBLP]


  462. A Novel Hybrid Approach of Color Image Segmentation. [Citation Graph (, )][DBLP]


  463. On the Number of 3-D IC Floorplan Configurations and a Solution Perturbation Method with Good Convergence. [Citation Graph (, )][DBLP]


  464. Thermal Driven Module Placement Using Sequence-pair. [Citation Graph (, )][DBLP]


  465. Efficient Algorithms for Hardware/Software Partitioning to Minimize Hardware Area. [Citation Graph (, )][DBLP]


  466. Global Interconnect Analysis and Optimization for Nanometer Scale VLSI. [Citation Graph (, )][DBLP]


  467. A Novel Hardware Architecture for Low Power and Rapid Testing of VLSI Circuits. [Citation Graph (, )][DBLP]


  468. Reducing Noisy-Coefficient Problem in Non-Continuous Adaptive Feedback Canceller for Hearing Aids. [Citation Graph (, )][DBLP]


  469. A Robust Correlation Method for Solving Permutation Problem in Frequency Domain Blind Source Separation of Speech Signals. [Citation Graph (, )][DBLP]


  470. Blind Determination of the Signal to Noise Ratio of Speech Signals Based on Estimation Combination of Multiple Features. [Citation Graph (, )][DBLP]


  471. Design of IP Media Server for Voice Conference Application. [Citation Graph (, )][DBLP]


  472. Implementation of MPEG-2 AAC on 16-bit Fixed-Point DSP. [Citation Graph (, )][DBLP]


  473. DWDM Demultiplexer Using Compound Optical Ring Resonator with Fiber Bragg Grating. [Citation Graph (, )][DBLP]


  474. Optical Front-Ends for Low-Cost Laser-Based 10-Mbps Free-Space Optical Transceiver. [Citation Graph (, )][DBLP]


  475. A Standalone Printing USB Host Device Prototype. [Citation Graph (, )][DBLP]


  476. Narrow-Band FM Multi-Tone FSK Modem: TMS320C6000 Based Testbed Implementation and Performance Analysis. [Citation Graph (, )][DBLP]


  477. Genetic Algorithm based Approximants for Discrete Time Systems: A Computer-Aided Approach. [Citation Graph (, )][DBLP]


  478. A 2-D Systolic Array for High-Throughput Computation of 2-D Discrete Fourier Transform. [Citation Graph (, )][DBLP]


  479. A Low-Power Reconfigurable Mixed-Radix FFT/IFFT Processor. [Citation Graph (, )][DBLP]


  480. A Low Multiplier and Multiplication Costs 256-point FFT Implementation with Simplified Radix-24 SDF Architecture. [Citation Graph (, )][DBLP]


  481. A Grouped Fast Fourier Transform Algorithm Design For Selective Transformed Outputs. [Citation Graph (, )][DBLP]


  482. A Discrete STFT Processor for Real-time Spectrum Analysis. [Citation Graph (, )][DBLP]


  483. A Low Cost/Low Power Chaos-based Transceiver Exploiting Ergodicity. [Citation Graph (, )][DBLP]


  484. Look-up Table Based Chaotic Encryption of Audio Files. [Citation Graph (, )][DBLP]


  485. Design of A Low Power High Entropy Chaos-Based Truly Random Number Generator. [Citation Graph (, )][DBLP]


  486. A Current-Sampling-Mode Arbitrary Chaos Generator Circuit Using Pulse Modulation Approach Driven by Quantized Nonlinear Waveforms. [Citation Graph (, )][DBLP]


  487. Chaos Synchronization Using A Robust Sliding Mode Observer By Transmitting A Scalar Signal. [Citation Graph (, )][DBLP]


  488. A New Multiscale Line Detection Approach for Aerial Image with Complex Scene. [Citation Graph (, )][DBLP]


  489. A Display Order Oriented Scalable Video Decoder. [Citation Graph (, )][DBLP]


  490. Implementation of Multipoint Video Conference in Software. [Citation Graph (, )][DBLP]


  491. An Improved SVD-Based Watermarking Technique for Image and Document Authentication. [Citation Graph (, )][DBLP]


  492. Study on Complex Behavior in Phase-Shifting Full-Bridge ZVS Converter. [Citation Graph (, )][DBLP]


  493. Uncertainty Management for Estimation in Dynamical Systems. [Citation Graph (, )][DBLP]


  494. Nonlinear STATCOM Controller using Passivity-Based Sliding Mode Control. [Citation Graph (, )][DBLP]


  495. Frequency Interval Gramians based Model Reduction. [Citation Graph (, )][DBLP]


  496. Simultaneous Analysis of Capacitive Coupling and Leakage Noise in Nanometer Scale Circuits. [Citation Graph (, )][DBLP]


  497. A Simulink-to-FPGA Co-Design of Encryption Module. [Citation Graph (, )][DBLP]


  498. Analytic Solution of Amplitude Controlled Digital Oscillator Using Multi-Time Variables Technique. [Citation Graph (, )][DBLP]


  499. Reduced-Dimension Single Data Set Detection Algorithms. [Citation Graph (, )][DBLP]


  500. Efficient VLSI Design for RNS Reverse Converter Based on New Moduli Set (2n-1, 2n+1, 22n+1). [Citation Graph (, )][DBLP]


  501. Online Continues Vietnamese Handwritten Character Recognition Based on Microsoft Handwritten Character Recognition Library. [Citation Graph (, )][DBLP]

NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002