The SCEAS System
Navigation Menu

Conferences in DBLP

Design Automation Conference (DAC) (dac)
1995 (conf/dac/95)

  1. Marc Tremblay, Guillermo Maturana, Atsushi Inoue, Leslie Kohn
    A Fast and Flexible Performance Simulator for Micro-Architecture Trade-off Analysis on UltraSPARC-I. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:2-6 [Conf]
  2. Lawrence Yang, David Gao, Jamshid Mostoufi, Raju Joshi, Paul Loewenstein
    System Design Methodology of UltraSPARC-I. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:7-12 [Conf]
  3. James Gateley, Miriam Blatt, Dennis Chen, Scott Cooke, Piyush Desai, Manjunath Doreswamy, Mark Elgood, Gary Feierbach, Tim Goldsbury, Dale Greenley, Raju Joshi, Mike Khosraviani, Robert Kwong, Manish Motwani, Chitresh Narasimhaiah, Sam J. Nicolino Jr., Tooru Ozeki, Gary Peterson, Chris Salzmann, Nasser Shayesteh, Jeffrey Whitman, Pak Wong
    UltraSPARC-I Emulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:13-18 [Conf]
  4. A. Cao, A. Adalal, J. Bauman, P. Delisle, P. Dedood, P. Donehue, M. Dell'OcaKhouja, T. Doan, Manjunath Doreswamy, P. Ferolito, O. Geva, D. Greenhill, S. Gopaladhine, J. Irwin, L. Lev, J. MacDonald, M. Ma, Samir Mitra, P. Patel, A. Prabhu, R. Puranik, S. Rozanski, N. Ross, P. Saggurti, S. Simovich, R. Sunder, B. Sur, W. Vercruysse, Michelle Wong, P. Yip, Robert K. Yu, J. Zhou, Gregory B. Zyner
    CAD Methodology for the Design of UltraSPARC-I Microprocessor at Sun Microsystems Inc. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:19-22 [Conf]
  5. Srilatha Manne, Abelardo Pardo, R. Iris Bahar, Gary D. Hachtel, Fabio Somenzi, Enrico Macii, Massimo Poncino
    Computing the Maximum Power Cycles of a Sequential Circuit. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:23-28 [Conf]
  6. Jui-Ming Chang, Massoud Pedram
    Register Allocation and Binding for Low Power. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:29-35 [Conf]
  7. Amir H. Farrahi, Gustavo E. Téllez, Majid Sarrafzadeh
    Memory Segmentation to Exploit Sleep Mode Operation. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:36-41 [Conf]
  8. Raul San Martin, John P. Knight
    Power-Profiler: Optimizing ASICs Power Consumption at the Behavioral Level. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:42-47 [Conf]
  9. Kuo-Hua Wang, TingTing Hwang
    Boolean Matching for Incompletely Specified Functions. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:48-53 [Conf]
  10. Bernd Wurth, Klaus Eckl, Kurt Antreich
    Functional Multiple-Output Decomposition: Theory and an Implicit Algorithm. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:54-59 [Conf]
  11. Ted Stanion, Carl Sechen
    A Method for Finding Good Ashenhurst Decompositions and Its Application to FPGA Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:60-64 [Conf]
  12. Wen-Zen Shen, Juinn-Dar Huang, Shih-Min Chao
    Lambda Set Selection in Roth-Karp Decomposition for LUT-Based FPGA Technology Mapping. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:65-69 [Conf]
  13. Hirendu Vaishnav, Massoud Pedram
    Minimizing the Routing Cost During Logic Extraction. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:70-75 [Conf]
  14. Stephen T. Frezza, Steven P. Levitan, Panos K. Chrysanthis
    Requirements-Based Design Evaluation. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:76-81 [Conf]
  15. Eric W. Johnson, Jay B. Brockman
    Incorporating Design Schedule Management into a Flow Management System. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:82-87 [Conf]
  16. Joachim Altmeyer, Bernd Schürmann, Martin Schütze
    Generating ECAD Framework Code from Abstract Models. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:88-93 [Conf]
  17. Ansgar Bredenfeld, Raul Camposano
    Tool Integration and Construction Using Generated Graph-Based Design Representations. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:94-99 [Conf]
  18. Tai Ly, David Knapp, Ron Miller, Don MacMillen
    Scheduling Using Behavioral Templates. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:101-106 [Conf]
  19. Miodrag Potkonjak, Mani B. Srivastava
    Rephasing: A Transformation Technique for the Manipulation of Timing Constraints. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:107-112 [Conf]
  20. Y. G. DeCastelo-Vide-e-Souza, Miodrag Potkonjak, Alice C. Parker
    Optimal ILP-Based Approach for Throughput Optimization Using Simultaneous Algorithm/Architecture Matching and Retiming. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:113-118 [Conf]
  21. Uwe Sparmann, D. Luxenburger, Kwang-Ting Cheng, Sudhakar M. Reddy
    Fast Identification of Robust Dependent Path Delay Faults. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:119-125 [Conf]
  22. Irith Pomeranz, Sudhakar M. Reddy
    On Synthesis-for-Testability of Combinational Logic Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:126-132 [Conf]
  23. Srikanth Venkataraman, Ismed Hartanto, W. Kent Fuchs, Elizabeth M. Rudnick, Sreejit Chakravarty, Janak H. Patel
    Rapid Diagnostic Fault Simulation of Stuck-at Faults in Sequential Circuits Using Compact Lists. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:133-138 [Conf]
  24. Roger D. Chamberlain
    Parallel Logic Simulation of VLSI Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:139-143 [Conf]
  25. Peter A. Walker, Sumit Ghosh
    Asynchronous, Distributed Event Driven Simulation Algorithm for Execution of VHDL on Parallel Processors. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:144-150 [Conf]
  26. Robert S. French, Monica S. Lam, Jeremy R. Levitt, Kunle Olukotun
    A General Method for Compiling Event-Driven Simulations. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:151-156 [Conf]
  27. Wei-Kai Cheng, Youn-Long Lin
    A Transformation-Based Approach for Storage Optimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:158-163 [Conf]
  28. Tsung-Yi Wu, Youn-Long Lin
    Register Minimization beyond Sharing among Variables. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:164-169 [Conf]
  29. Elof Frank, Salil Raje, Majid Sarrafzadeh
    Constrained Register Allocation in Bus Architectures. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:170-175 [Conf]
  30. Aiman H. El-Maleh, Thomas E. Marchok, Janusz Rajski, Wojciech Maly
    On Test Set Preservation of Retimed Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:176-182 [Conf]
  31. Elizabeth M. Rudnick, Janak H. Patel
    Combining Deterministic and Genetic Approaches for Sequential Circuit Test Generation. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:183-188 [Conf]
  32. Peichen Pan, C. L. Liu
    Partial Scan with Pre-selected Scan Signals. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:189-194 [Conf]
  33. Charles J. Alpert, So-Zen Yao
    Spectral Partitioning: The More Eigenvectors, The Better. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:195-200 [Conf]
  34. Prashant Sawkar, Donald E. Thomas
    Multi-way Partitioning for Minimum Delay for Look-Up Table Based FPGAs. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:201-205 [Conf]
  35. Lung-Tien Liu, Ming-Ter Kuo, Chung-Kuan Cheng, T. C. Hu
    Performance-Driven Partitioning Using a Replication Graph Approach. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:206-210 [Conf]
  36. William Swartz, Carl Sechen
    Timing Driven Placement for Large Standard Cell Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:211-215 [Conf]
  37. Lars W. Hagen, Dennis J.-H. Huang, Andrew B. Kahng
    Quantified Suboptimality of VLSI Layout Heuristics. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:216-221 [Conf]
  38. Thomas W. Albrecht
    Concurrent Design Methodology and Configuration Management of the SIEMENS EWSD - CCS7E Processor System Simulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:222-227 [Conf]
  39. Peter Zepter, Thorsten Grötker, Heinrich Meyr
    Digital Receiver Design Using VHDL Generation from Data Flow Graphs. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:228-233 [Conf]
  40. Charles H. Malley, Max Dieudonné
    Logic Verification Methodology for PowerPC Microprocessors. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:234-240 [Conf]
  41. Srinivas Devadas, Sharad Malik
    A Survey of Optimization Techniques Targeting Low Power VLSI Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:242-247 [Conf]
  42. Sasan Iman, Massoud Pedram
    Logic Extraction and Factorization for Low Power. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:248-253 [Conf]
  43. Luciano Lavagno, Patrick C. McGeer, Alexander Saldanha, Alberto L. Sangiovanni-Vincentelli
    Timed Shannon Circuits: A Power-Efficient Design Style and Synthesis Tool. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:254-260 [Conf]
  44. Ajay Chandna, C. David Kibler, Richard B. Brown, Mark Roberts, Karem A. Sakallah
    The Aurora RAM Compiler. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:261-266 [Conf]
  45. Sanjay Rekhi, J. Donald Trotter, Daniel H. Linder
    Automatic Layout Synthesis of Leaf Cells. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:267-272 [Conf]
  46. N. P. van der Meijs, A. J. van Genderen
    Delayed Frontal Solution for Finite-Element Based Resistance Extraction. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:273-278 [Conf]
  47. Aharon Aharon, Dave Goodman, Moshe Levinger, Yossi Lichtenstein, Yossi Malka, Charlotte Metzger, Moshe Molcho, Gil Shurek
    Test Program Generation for Functional Verification of PowerPC Processors in IBM. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:279-285 [Conf]
  48. David Knapp, Tai Ly, Don MacMillen, Ron Miller
    Behavioral Synthesis Methodology for HDL-Based Specification and Validation. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:286-291 [Conf]
  49. Massimo Bombana, Patrizia Cavalloro, Salvatore Conigliaro, Roger B. Hughes, Gerry Musgrave, Giuseppe Zaza
    Design-Flow and Synthesis for ASICs: A Case Study. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:292-297 [Conf]
  50. Jörg Bormann, Jörg Lohse, Michael Payer, Gerd Venzl
    Model Checking in Industrial Hardware Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:298-303 [Conf]
  51. Kumar N. Lalgudi, Marios C. Papaefthymiou
    DELAY: An Efficient Tool for Retiming with Realistic Delay Modeling. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:304-309 [Conf]
  52. Rahul B. Deokar, Sachin S. Sapatnekar
    A Fresh Look at Retiming Via Clock Skew Optimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:310-315 [Conf]
  53. Vigyan Singhal, Carl Pixley, Richard L. Rudell, Robert K. Brayton
    The Validity of Retiming Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:316-321 [Conf]
  54. Ireneusz Karkowski, Ralph H. J. M. Otten
    Retiming Synchronous Circuitry with Imprecise Delays. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:322-326 [Conf]
  55. Shihming Liu, Massoud Pedram, Alvin M. Despain
    A Fast State Assignment Procedure for Large FSMs. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:327-332 [Conf]
  56. Mark Kassab, Nilanjan Mukherjee, Janusz Rajski, Jerzy Tyszer
    Software Accelerated Functional Fault Simulation for Data-Path Architectures. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:333-338 [Conf]
  57. Rolf Krieger, Bernd Becker, Martin Keim
    Symbolic Fault Simulation for Sequential Circuits and the Multiple Observation Time Test Strategy. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:339-344 [Conf]
  58. Haluk Konuk, F. Joel Ferguson, Tracy Larrabee
    Accurate and Efficient Fault Simulation of Realistic CMOS Network Breaks. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:345-351 [Conf]
  59. Lluis Ribas, Jordi Carrabina
    Analysis of Switch-Level Faults by Symbolic Simulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:352-357 [Conf]
  60. Byron Krauter, Rohini Gupta, John Willis, Lawrence T. Pileggi
    Transmission Line Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:358-363 [Conf]
  61. Rohini Gupta, Byron Krauter, Bogdan Tutuianu, John Willis, Lawrence T. Pileggi
    The Elmore Delay as a Bound for RC Trees with Generalized Input Signals. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:364-369 [Conf]
  62. Vasant B. Rao
    Delay Analysis of the Distributed RC Line. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:370-375 [Conf]
  63. Luis Miguel Silveira, Mattan Kamon, Jacob White
    Efficient Reduced-Order Modeling of Frequency-Dependent Coupling Inductances Associated with 3-D Interconnect Structures. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:376-380 [Conf]
  64. Sharad Mehrotra, Paul D. Franzon, Michael Steer
    Performance Driven Global Routing and Wiring Rule Generation for High Speed PCBs and MCMs. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:381-387 [Conf]
  65. Chuck Monahan, Forrest Brewer
    Symbolic Modeling and Evaluation of Data Paths. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:389-394 [Conf]
  66. Ishwar Parulkar, Sandeep K. Gupta, Melvin A. Breuer
    Data Path Allocation for Synthesizing RTL Designs with Low BIST Area Overhead. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:395-401 [Conf]
  67. Donald S. Gelosh, Dorothy E. Setliff
    Deriving Efficient Area and Delay Estimates by Modeling Layout Tools. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:402-407 [Conf]
  68. Jochen Bern, Christoph Meinel, Anna Slobodová
    Efficient OBDD-Based Boolean Manipulation in CAD beyond Current Limits. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:408-413 [Conf]
  69. Subodh M. Reddy, Wolfgang Kunz, Dhiraj K. Pradhan
    Novel Verification Framework Combining Structural and OBDD Methods in a Synthesis Environment. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:414-419 [Conf]
  70. Jawahar Jain, Rajarshi Mukherjee, Masahiro Fujita
    Advanced Verification Techniques Based on Learning. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:420-426 [Conf]
  71. Edmund M. Clarke, Orna Grumberg, Kenneth L. McMillan, Xudong Zhao
    Efficient Generation of Counterexamples and Witnesses in Symbolic Model Checking. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:427-432 [Conf]
  72. Wim Kruiskamp, Domine Leenaerts
    DARWIN: CMOS Opamp Synthesis by Means of a Genetic Algorithm. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:433-438 [Conf]
  73. Ivan L. Wemple, Andrew T. Yang
    Mixed-Signal Switching Noise Analysis Using Voronoi-Tessellated Substrate Macromodels. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:439-444 [Conf]
  74. Koen Lampaert, Georges G. E. Gielen, Willy M. C. Sansen
    Direct Performance-Driven Placement of Mismatch-Sensitive Analog Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:445-449 [Conf]
  75. Bapiraju Vinnakota, Ramesh Harjani, Nicholas J. Stessman
    System-Level Design for Test of Fully Differential Analog Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:450-454 [Conf]
  76. Yau-Tsun Steven Li, Sharad Malik
    Performance Analysis of Embedded Software Using Implicit Path Enumeration. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:456-461 [Conf]
  77. Pai H. Chou, Gaetano Borriello
    Interval Scheduling: Fine-Grained Code Scheduling for Embedded Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:462-467 [Conf]
  78. Sanjiv Narayan, Daniel Gajski
    Interfacing Incompatible Protocols Using Interface Process Generation. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:468-473 [Conf]
  79. Peter Feldmann, Roland W. Freund
    Reduced-Order Modeling of Large Linear Subcircuits via a Block Lanczos Algorithm. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:474-479 [Conf]
  80. Ricardo Telichevesky, Kenneth S. Kundert, Jacob White
    Efficient Steady-State Analysis Based on Matrix-Free Krylov-Subspace Methods. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:480-484 [Conf]
  81. Mike Chou, Tom Korsmeyer, Jacob White
    Transient Simulations of Three-Dimensional Integrated Circuit Interconnect Using a Mixed Surface-Volume Approach. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:485-490 [Conf]
  82. Joe G. Xi, Wayne Wei-Ming Dai
    Buffer Insertion and Sizing Under Process Variations for Low Power Clock Distribution. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:491-496 [Conf]
  83. Ashok Vittal, Malgorzata Marek-Sadowska
    Power Optimal Buffered Clock Tree Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:497-502 [Conf]
  84. Ashok Vittal, Malgorzata Marek-Sadowska
    Power Distribution Topology Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:503-507 [Conf]
  85. Dennis J.-H. Huang, Andrew B. Kahng, Chung-Wen Albert Tsao
    On the Bounded-Skew Clock and Steiner Routing Problems. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:508-513 [Conf]
  86. Asim Smailagic, Daniel P. Siewiorek, Drew Anderson, Chris Kasabach, Thomas L. Martin, John Stivoric
    Benchmarking An Interdisciplinary Concurrent Design Methodology for Electronic/Mechanical Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:514-519 [Conf]
  87. Giovanni Mancini, Dave Yurach, Spiros Boucouris
    A Methodology for HW-SW Codesign in ATM. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:520-527 [Conf]
  88. Allan Silburt, Ian Perryman, Janick Bergeron, Stacy Nichols, Mario Dufresne, Greg Ward
    Accelerating Concurrent Hardware Design with Behavioural Modelling and System Simulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:528-533 [Conf]
  89. Randal E. Bryant, Yirng-An Chen
    Verification of Arithmetic Circuits with Binary Moment Diagrams. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:535-541 [Conf]
  90. Shinji Kimura
    Residue BDD and Its Application to the Verification of Arithmetic Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:542-545 [Conf]
  91. Zheng Zhou, Wayne Burleson
    Equivalence Checking of Datapaths Based on Canonical Arithmetic Expressions. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:546-551 [Conf]
  92. Wai-Kei Mak, D. F. Wong
    On Optimal Board-Level Routing for FPGA-Based Logic Emulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:552-556 [Conf]
  93. Yuh-Sheng Lee, Allen C.-H. Wu
    A Performance and Routability Driven Router for FPGAs Considering Path Delays. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:557-561 [Conf]
  94. Michael J. Alexander, Gabriel Robins
    New Performance-Driven FPGA Routing Algorithms. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:562-567 [Conf]
  95. Yu-Liang Wu, Malgorzata Marek-Sadowska
    Orthogonal Greedy Coupling - A New Optimization Approach to 2-D FPGA Routing. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:568-573 [Conf]
  96. Steven Trimberger
    Effects of FPGA Architecture on FPGA Routing. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:574-578 [Conf]
  97. Mário J. Silva, Randy H. Katz
    The Case for Design Using the World Wide Web. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:579-585 [Conf]
  98. Massimiliano Chiodo, Paolo Giusto, Attila Jurecska, Luciano Lavagno, Harry Hsieh, Kei Suzuki, Alberto L. Sangiovanni-Vincentelli, Ellen Sentovich
    Synthesis of Software Programs for Embedded Control Applications. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:587-592 [Conf]
  99. Adwin H. Timmer, Marino T. J. Strik, Jef L. van Meerbergen, Jochen A. G. Jess
    Conflict Modelling and Instruction Scheduling in Code Generation for In-House DSP Cores. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:593-598 [Conf]
  100. Stan Y. Liao, Srinivas Devadas, Kurt Keutzer, Steven W. K. Tjiang, Albert Wang
    Code Optimization Techniques for Embedded DSP Microprocessors. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:599-604 [Conf]
  101. Ulrich Bieker, Peter Marwedel
    Retargetable Self-Test Program Generation Using Constraint Logic Programming. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:605-611 [Conf]
  102. Farid N. Najm
    Feedback, Correlation, and Delay Concerns in the Power Estimation of VLSI Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:612-617 [Conf]
  103. Huzefa Mehta, Manjit Borah, Robert Michael Owens, Mary Jane Irwin
    Accurate Estimation of Combinational Circuit Activity. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:618-622 [Conf]
  104. Farid N. Najm, Michael Y. Zhang
    Extreme Delay Sensitivity and the Worst-Case Switching Activity in VLSI Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:623-627 [Conf]
  105. Radu Marculescu, Diana Marculescu, Massoud Pedram
    Efficient Power Estimation for Highly Correlated Input Streams. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:628-634 [Conf]
  106. Farid N. Najm, Shashank Goel, Ibrahim N. Hajj
    Power Estimation in Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:635-640 [Conf]
  107. Olivier Coudert, Jean Christophe Madre
    New Ideas for Solving Covering Problems. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:641-646 [Conf]
  108. Chih-Chang Lin, Kuang-Chien Chen, Shih-Chieh Chang, Malgorzata Marek-Sadowska, Kwang-Ting Cheng
    Logic Synthesis for Engineering Change. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:647-652 [Conf]
  109. Yuichi Nakamura, Takeshi Yoshimura
    A Partitioning-Based Logic Optimization Method for Large Scale Circuits with Boolean Matrix. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:653-657 [Conf]
  110. Masayuki Yuguchi, Yuichi Nakamura, Kazutoshi Wakabayashi, Tomoyuki Fujita
    Multi-Level Logic Minimization Based on Multi-Signal Implications. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:658-662 [Conf]
  111. Shih-Chieh Chang, Malgorzata Marek-Sadowska, Kwang-Ting Cheng
    An Efficient Algorithm for Local Don't Care Sets Calculation. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:663-667 [Conf]
  112. Bernhard Rohfleisch, Bernd Wurth, Kurt Antreich
    Logic Clause Analysis for Delay Optimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:668-672 [Conf]
  113. Reinaldo A. Bergamaschi
    Productivity Issues in High-Level Design: Are Tools Solving the Real Problems? [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:674-677 [Conf]
  114. Cristian A. Giumale, Hilary J. Kahn
    Information Models of VHDL. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:678-683 [Conf]
  115. Neal S. Stollon, John D. Provence
    Measures of Syntactic Complexity for Modeling Behavioral VHDL. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:684-689 [Conf]
  116. Noel Menezes, Satyamurthy Pullela, Lawrence T. Pileggi
    Simultaneous Gate and Interconnect Sizing for Circuit-Level Delay Optimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:690-695 [Conf]
  117. Jin-fuw Lee, Donald T. Tang
    An Algorithm for Incremental Timing Analysis. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:696-701 [Conf]
  118. Alessandro Dal Fabbro, Bruno Franzini, Luigi Croce, Carlo Guardiani
    An Assigned Probability Technique to Derive Realistic Worst-Case Timing Models of Digital Standard Cells. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:702-706 [Conf]
  119. Samir Jain, Randal E. Bryant, Alok Jain
    Automatic Clock Abstraction from Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:707-711 [Conf]
  120. Bill Lin, Gjalt G. de Jong, Tilman Kolks
    Hierarchical Optimization of Asynchronous Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:712-717 [Conf]
  121. Milton H. Sawasaki, Chantal Ykman-Couvreur, Bill Lin
    Externally Hazard-Free Implementations of Asynchronous Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:718-724 [Conf]
  122. Peter Vanbekbergen, Albert Wang, Kurt Keutzer
    A Design and Validation System for Asynchronous Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1995, pp:725-730 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002