The SCEAS System
Navigation Menu

Conferences in DBLP

Design Automation Conference (DAC) (dac)
1996 (conf/dac/96)

  1. Mattan Kamon, Steve S. Majors
    Package and Interconnect Modeling of the HFA3624, a 2.4GHz RF to IF Converter. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:2-7 [Conf]
  2. Janardhan H. Satyanarayana, Keshab K. Parhi
    HEAT: Hierarchical Energy Analysis Tool. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:9-14 [Conf]
  3. Andrew Wolfe
    Opportunities and Obstacles in Low-Power System-Level CAD. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:15-20 [Conf]
  4. Sasan Iman, Massoud Pedram
    POSE: Power Optimization and Synthesis Environment. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:21-26 [Conf]
  5. David Lidsky, Jan M. Rabaey
    Early Power Exploration - A World Wide Web Application. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:27-32 [Conf]
  6. Raul Camposano
    Behavioral Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:33-34 [Conf]
  7. Ehat Ercanli, Christos A. Papachristou
    A Register File and Scheduling Model for Application Specific Processor Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:35-40 [Conf]
  8. Mahesh Mehendale, G. Venkatesh, Sunil D. Sherlekar
    Optimized Code Generation of Multiplication-free Linear Transforms. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:41-46 [Conf]
  9. Chuck Monahan, Forrest Brewer
    Concurrent Analysis Techniques for Data Path Timing Optimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:47-50 [Conf]
  10. Jian Li, Rajesh K. Gupta
    HDL Optimization Using Timed Decision Tables. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:51-54 [Conf]
  11. Eric Verlind, Gjalt G. de Jong, Bill Lin
    Efficient Partial Enumeration for Timing Analysis of Asynchronous Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:55-58 [Conf]
  12. Alexei L. Semenov, Alexandre Yakovlev
    Verification of asynchronous circuits using Time Petri Net unfolding. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:59-62 [Conf]
  13. Jordi Cortadella, Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Alexandre Yakovlev
    Methodology and Tools for State Encoding in Asynchronous Circuit Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:63-66 [Conf]
  14. Prabhakar Kudva, Ganesh Gopalakrishnan, Hans M. Jacobson
    A Technique for Synthesizing Distributed Burst-mode Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:67-70 [Conf]
  15. Michael Theobald, Steven M. Nowick, Tao Wu
    Espresso-HF: A Heuristic Hazard-Free Minimizer for Two-Level Logic. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:71-76 [Conf]
  16. Prabhakar Kudva, Ganesh Gopalakrishnan, Hans M. Jacobson, Steven M. Nowick
    Synthesis for Hazard-free Customized CMOS Complex-Gate Networks Under Multiple-Input Changes. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:77-82 [Conf]
  17. Frank M. Johannes
    Partitioning of VLSI Circuits and Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:83-87 [Conf]
  18. Jianmin Li, John Lillis, Lung-Tien Liu, Chung-Kuan Cheng
    New Spectral Linear Placement and Clustering Approach. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:88-93 [Conf]
  19. Michael D. Hutton, Jerry P. Grossman, Jonathan Rose, Derek G. Corneil
    Characterization and Parameterized Random Generation of Digital Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:94-99 [Conf]
  20. Shantanu Dutt, Wenyong Deng
    A Probability-Based Approach to VLSI Circuit Partitioning. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:100-105 [Conf]
  21. Alberto L. Sangiovanni-Vincentelli, Patrick C. McGeer, Alexander Saldanha
    Verification of Electronic Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:106-111 [Conf]
  22. Anantha Chandrakasan, Isabel Yang, Carlin Vieri, Dimitri Antoniadis
    Design Considerations and Tools for Low-voltage Digital System Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:113-118 [Conf]
  23. Bernhard Wunder, Gunther Lehmann, Klaus D. Müller-Glaser
    VAMP: A VHDL-Based Concept for Accurate Modeling and Post Layout Timing Simulation of Electronic Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:119-124 [Conf]
  24. Madhav P. Desai, Yao-Tsung Yen
    A Systematic Technique for Verifying Critical Path Delays in a 300MHz Alpha CPU Design Using Circuit Simulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:125-130 [Conf]
  25. Kenneth D. Wagner, Sujit Dey
    High-Level Synthesis for Testability: A Survey and Perspective. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:131-136 [Conf]
  26. Balakrishnan Iyer, Ramesh Karri
    Introspection: A Low Overhead Binding Technique During Self-Diagnosing Microarchitecture Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:137-142 [Conf]
  27. Ishwar Parulkar, Sandeep K. Gupta, Melvin A. Breuer
    Lower Bounds on Test Resources for Scheduled Data Flow Graphs. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:143-148 [Conf]
  28. Antonio R. W. Todesco, Teresa H. Y. Meng
    Symphony: A Simulation Backplane for Parallel Mixed-Mode Co-Simulation of VLSI Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:149-154 [Conf]
  29. Peter Dahlgren
    Oscillation Control in Logic Simulation using Dynamic Dominance Grahps. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:155-160 [Conf]
  30. Shi-Yu Huang, Kuang-Chien Chen, Kwang-Ting Cheng, Tien-Chien Lee
    Compact Vector Generation for Accurate Power Simulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:161-164 [Conf]
  31. Chi-Ying Tsui, Radu Marculescu, Diana Marculescu, Massoud Pedram
    Improving the Efficiency of Power Simulators by Input Vector Compaction. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:165-168 [Conf]
  32. Idalina Videira, Paulo Veríssimo, Helena Sarmento
    Efficient Communication in a Design Environment. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:169-174 [Conf]
  33. Peter R. Sutton, Stephen W. Director
    A Description Language for Design Process Management. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:175-180 [Conf]
  34. John W. Hagerman, Stephen W. Director
    Improved Tool and Data Selection in Task Management. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:181-184 [Conf]
  35. Eric W. Johnson, Luis A. Castillo, Jay B. Brockman
    Application of a Markov Model to the Measurement, Simulation, and Diagnosis of an Iterative Design Process. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:185-188 [Conf]
  36. Richard L. Rudell
    Tutorial: Design of a Logic Synthesis System. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:191-196 [Conf]
  37. Olivier Coudert
    On Solving Covering Problems. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:197-202 [Conf]
  38. Sungju Park
    A New Complete Diagnosis Patterns for Wiring Interconnects. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:203-208 [Conf]
  39. Chih-Ang Chen, Sandeep K. Gupta
    A Satisfiability-Based Test Generator for Path Delay Faults in Combinational Circuts. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:209-214 [Conf]
  40. Irith Pomeranz, Sudhakar M. Reddy
    On Static Compaction of Test Sequences for Synchronous Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:215-220 [Conf]
  41. Bulent Basaran, Rob A. Rutenbar
    An O(n) Algorithm for Transistor Stacking with Performance Constraints. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:221-226 [Conf]
  42. Paolo Miliozzi, Iasson Vassiliou, Edoardo Charbon, Enrico Malavasi, Alberto L. Sangiovanni-Vincentelli
    Use of Sensitivities and Generalized Substrate Models in Mixed-Signal IC Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:227-232 [Conf]
  43. Sanjay Sawant, Paul Giordano
    RTL Emulation: The Next Leap in System Verification. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:233-235 [Conf]
  44. Carsten Borchers, Lars Hedrich, Erich Barke
    Equation-Based Behavioral Model Generation for Nonlinear Analog Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:236-239 [Conf]
  45. Chien-Chung Tsai, Malgorzata Marek-Sadowska
    Multilevel Logic Synthesis for Arithmetic Functions. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:242-247 [Conf]
  46. Jeffery P. Hansen, Masatoshi Sekine
    Synthesis by Spectral Translation Using Boolean Decision Diagrams. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:248-253 [Conf]
  47. Shashidhar Thakur, D. F. Wong, Shankar Krishnamoorthy
    Delay Minimal Decomposition of Multiplexers in Technology Mapping. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:254-257 [Conf]
  48. Shi-Yu Huang, Kuang-Chien Chen, Kwang-Ting Cheng
    Error Correction Based on Verification Techniques. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:258-261 [Conf]
  49. Chau-Shen Chen, Kuang-Hui Lin, TingTing Hwang
    Layout Driven Selecting and Chaining of Partial Scan. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:262-267 [Conf]
  50. Chih-Chang Lin, Malgorzata Marek-Sadowska, Kwang-Ting Cheng, Mike Tien-Chien Lee
    Test Point Insertion: Scan Paths through Combinational Logic. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:268-273 [Conf]
  51. Huoy-Yu Liou, Ting-Ting Y. Lin, Chung-Kuan Cheng
    Area Efficient Pipelined Pseudo-Exhaustive Testing with Retiming. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:274-279 [Conf]
  52. Kevin J. Kerns, Andrew T. Yang
    Stable and Efficient Reduction of Large, Multiport RC Networks by Pole Analysis via Congruence Transformations. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:280-285 [Conf]
  53. Jaijeet S. Roychowdhury, Robert C. Melville
    Homotopy Techniques for Obtaining a DC Solution of Large-Scale MOS Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:286-291 [Conf]
  54. Ricardo Telichevesky, Kenneth S. Kundert, Jacob White
    Efficient AC and Noise Analysis of Two-Tone RF Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:292-297 [Conf]
  55. L. Richard Carley, Georges G. E. Gielen, Rob A. Rutenbar, Willy M. C. Sansen
    Synthesis Tools for Mixed-Signal ICs: Progress on Frontend and Backend Strategies. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:298-303 [Conf]
  56. Anoosh Hosseini, Dimitrios Mavroidis, Pavlos Konas
    Code Generation and Analysis for the Functional Verification of Microprocessors. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:305-310 [Conf]
  57. Val Popescu, Bill McNamara
    Innovative Verification Strategy Reduces Design Cycle Time for High-End Sparc Processor. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:311-314 [Conf]
  58. Gopi Ganapathy, Ram Narayan, Glenn Jorden, Denzil Fernandez, Ming Wang, Jim Nishimura
    Hardware Emulation for Functional Verification of K5. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:315-318 [Conf]
  59. James Monaco, David Holloway, Rajesh Raina
    Functional Verification Methodology for the PowerPC 604 Microprocessor. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:319-324 [Conf]
  60. Michael Kantrowitz, Lisa M. Noack
    I'm Done Simulating: Now What? Verification Coverage Analysis and Correctness Checking of the DECchip 21164 Alpha Microprocessor. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:325-330 [Conf]
  61. Anand Raghunathan, Sujit Dey, Niraj K. Jha
    Glitch Analysis and Reduction in Register Transfer Level. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:331-336 [Conf]
  62. Christos A. Papachristou, Mark Spining, Mehrdad Nourani
    An Effective Power Management Scheme for RTL Design Based on Multiple Clocks. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:337-342 [Conf]
  63. Mani B. Srivastava, Miodrag Potkonjak
    Power Optimization in Programmable Processors and ASIC Implementations of Linear Systems: Transformation-based Approach. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:343-348 [Conf]
  64. José Monteiro, Srinivas Devadas, Pranav Ashar, Ashutosh Mauskar
    Scheduling Techniques to Enable Power Management. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:349-352 [Conf]
  65. Aurobindo Dasgupta, Ramesh Karri
    Electromigration Reliability Enhancement via Bus Activity Distribution. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:353-356 [Conf]
  66. Byron Krauter, Yu Xia, E. Aykut Dengi, Lawrence T. Pileggi
    A Sparse Image Method for BEM Capacitance Extraction. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:357-362 [Conf]
  67. Narayan R. Aluru, V. B. Nadkarni, James White
    A Parallel Precorrected FFT Based Capacitance Extraction Program for Signal Integrity Analysis. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:363-366 [Conf]
  68. Johannes Tausch, Jacob K. White
    Multipole Accelerated Capacitance Calculation for Structures with Multiple Dielectrics with high Permittivity Ratios. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:367-370 [Conf]
  69. Weikai Sun, Wayne Wei-Ming Dai, Wei Hong II
    Fast Parameters Extraction of General Three-Dimension Interconnects Using Geometry Independent Measured Equation of Invariance. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:371-376 [Conf]
  70. Joel R. Philips, Eli Chiprout, David D. Ling
    Efficient Full-Wave Electromagnetic Analysis via Model-Order Reduction of Fast Integral Transforms. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:377-382 [Conf]
  71. Joe G. Xi, Wayne Wei-Ming Dai
    Useful-Skew Clock Routing With Gate Sizing for Low Power Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:383-388 [Conf]
  72. Madhav P. Desai, Radenko Cvijetic, James Jensen
    Sizing of Clock Distribution Networks for High Performance CPU Chips. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:389-394 [Conf]
  73. John Lillis, Chung-Kuan Cheng, Ting-Ting Y. Lin, Chin-Yen Ho
    New Performance Driven Routing Techniques With Explicit Area/Delay Tradeoff and Simultaneous Wire Sizing. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:395-400 [Conf]
  74. Jaewon Oh, Iksoo Pyo, Massoud Pedram
    Constructing Lower and Upper Bounded Delay Routing Trees Using Linear Programming. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:401-404 [Conf]
  75. Chung-Ping Chen, Yao-Wen Chang, D. F. Wong
    Fast Performance-Driven Optimization for Buffered Clock Trees Based on Lagrangian Relaxation. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:405-408 [Conf]
  76. Robert C. Hutchins, Shankar Hemmady
    How to Write Awk and Perl Scripts to Enable Your EDA Tools to Work Together. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:409-414 [Conf]
  77. K. D. Jones, J. P. Privitera
    The Automatic Generation of Functional Test Vectors for Rambus Designs. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:415-420 [Conf]
  78. Françoise Casaubieilh, Anthony McIsaac, Mike Benjamin, Mike Bartley, François Pogodalla, Frédéric Rocheteau, Mohamed Belhadj, Jeremy Eggleton, Gérard Mas, Geoff Barrett, Christian Berthet
    Functional Verification Methodology of Chameleon Processor. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:421-426 [Conf]
  79. Stephen Dean Brown, Naraig Manjikian, Zvonko G. Vranesic, S. Caranci, A. Grbic, R. Grindley, M. Gusat, K. Loveless, Zeljko Zilic, Sinisa Srbljic
    Experience in Designing a Large-scale Multiprocessor using Field-Programmable Devices and Advanced CAD Tools. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:427-432 [Conf]
  80. Alessandro Bogliolo, Luca Benini, Bruno Riccò
    Power Estimation of Cell-Based CMOS Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:433-438 [Conf]
  81. David Ihsin Cheng, Kwang-Ting Cheng, Deborah C. Wang, Malgorzata Marek-Sadowska
    A New Hybrid Methodology for Power Estimation. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:439-444 [Conf]
  82. Yong Je Lim, Kyung-Im Son, Heung-Joon Park, Mani Soma
    A Statistical Approach to the Estimation of Delay Dependent Switching Activities in CMOS Combinational Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:445-450 [Conf]
  83. Sunil P. Khatri, Amit Narayan, Sriram C. Krishnan, Kenneth L. McMillan, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    Engineering Change in a Non-Deterministic FSM Setting. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:451-456 [Conf]
  84. Mahesh A. Iyer, David E. Long, Miron Abramovici
    Identifying Sequential Redundancies Without Search. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:457-462 [Conf]
  85. Hiroyuki Higuchi, Yusuke Matsunaga
    A Fast State Reduction Algorithm for Incompletely Specified Finite State Machines. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:463-466 [Conf]
  86. Fabrizio Ferrandi, Franco Fummi, Enrico Macii, Massimo Poncino, Donatella Sciuto
    Symbolic Optimization of FSM Networks Based on Sequential ATPG Techniques. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:467-470 [Conf]
  87. Andreas Koch
    Module Compaction in FPGA-based Regular Datapaths. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:471-476 [Conf]
  88. Ming-Ter Kuo, Lung-Tien Liu, Chung-Kuan Cheng
    Network Partitioning into Tree Hierarchies. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:477-482 [Conf]
  89. Danny Z. Chen, Xiaobo Hu
    Efficient Approximation Algorithms for Floorplan Area Minimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:483-486 [Conf]
  90. Chung-Ping Chen, Yao-Ping Chen, D. F. Wong
    Optimal Wire-Sizing Formular Under the Elmore Delay Model. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:487-490 [Conf]
  91. Tetsuya Fujimoto, Takashi Kambe
    VLSI Design and System Level Verification for the Mini-Disc. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:491-496 [Conf]
  92. Hisakazu Edamatsu, Satoshi Ikawa, Katsuya Hasegawa
    Design Methodologies for consumer-use video signal processing LSIs. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:497-502 [Conf]
  93. Yasunori Miyahara, Yoshimoto Oumi, Seijiro Moriyama
    Design Methodology for Analog High Frequency ICs. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:503-508 [Conf]
  94. Mike Murray, Uwe B. Meding, Bill Berg, Yatin Trivedi, Bill McCaffrey, Ted Vucurevich
    Issues and Answers in CAD Tool Interoperability. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:509-514 [Conf]
  95. Jay K. Adams, Donald E. Thomas
    The Design of Mixed Hardware/Software Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:515-520 [Conf]
  96. Steven Vercauteren, Bill Lin, Hugo De Man
    Constructing Application-Specific Heterogeneous Embedded Architectures from Custom HW/SW Applications. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:521-526 [Conf]
  97. Nguyen-Ngoc Bình, Masaharu Imai, Akichika Shiomi, Nobuyuki Hikichi
    A Hardware/Software Partitioning Algorithm for Designing Pipelined ASIPs with Least Gate Counts. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:527-532 [Conf]
  98. Andrew B. Kahng, Sudhakar Muddu
    Analysis of RC Interconnections Under Ramp Input. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:533-538 [Conf]
  99. Bernie Sheehan
    An AWE Technique for Fast Printed Circuit Board Delays. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:539-543 [Conf]
  100. Florentin Dartu, Bogdan Tutuianu, Lawrence T. Pileggi
    RC-Interconnect Macromodels for Timing Simulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:544-547 [Conf]
  101. Yi-Kan Cheng, Chin-Chi Teng, Abhijit Dharchoudhury, Elyse Rosenbaum, Sung-Mo Kang
    iCET: A Complete Chip-Level Thermal Reliability Diagnosis Tool for CMOS VLSI Chips. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:548-551 [Conf]
  102. Jerry R. Burch
    Techniques for Verifying Superscalar Microprocessors. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:552-557 [Conf]
  103. Jeremy R. Levitt, Kunle Olukotun
    A Scalable Formal Verification Methodology for Pipelined Microprocessors. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:558-563 [Conf]
  104. C. Norris Ip, David L. Dill
    State Reduction Using Reversible Rules. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:564-567 [Conf]
  105. Felice Balarin, Harry Hsieh, Attila Jurecska, Luciano Lavagno, Alberto L. Sangiovanni-Vincentelli
    Formal Verification of Embedded Systems based on CFSM Networks. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:568-571 [Conf]
  106. E. Berrebi, Polen Kission, Serge Vernalde, S. De Troch, J. C. Herluison, J. Fréhel, Ahmed Amine Jerraya, Ivo Bolsens
    Combined Control Flow Dominated and Data Flow Dominated High-Level Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:573-578 [Conf]
  107. J. Huisken, F. Welten
    FADIC: Architectural Synthesis applied in IC Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:579-584 [Conf]
  108. Mike Tien-Chien Lee, Yu-Chin Hsu, Ben Chen, Masahiro Fujita
    Domain-Specific High-Level Modeling and Synthesis for ATM Switch Design Using VHDL. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:585-590 [Conf]
  109. Guido Araujo, Sharad Malik, Mike Tien-Chien Lee
    Using Register-Transfer Paths in Code Generation for Heterogeneous Memory-Register Architectures. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:591-596 [Conf]
  110. Clifford Liem, Pierre G. Paulin, Ahmed Amine Jerraya
    Address Calculation for Retargetable Compilation and Exploration of Instruction-Set Architectures. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:597-600 [Conf]
  111. Rajesh K. Gupta
    Analysis of Operation Delay and Execution Rate Constraints for Embedded Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:601-604 [Conf]
  112. Kei Suzuki, Alberto L. Sangiovanni-Vincentelli
    Efficient Software Performance Estimation Methods for Hardware/Software Codesign. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:605-610 [Conf]
  113. Bogdan Tutuianu, Florentin Dartu, Lawrence T. Pileggi
    An Explicit RC-Circuit Delay Approximation Based on the First Three Moments of the Impulse Response. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:611-616 [Conf]
  114. V. Chandramouli, Karem A. Sakallah
    Modeling the Effects of Temporal Proximity of Input Transitions on Gate Propagation Delay and Transition Time. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:617-622 [Conf]
  115. José Luis Neves, Eby G. Friedman
    Optimal Clock Skew Scheduling Tolerant to Process Variations. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:623-628 [Conf]
  116. Yusuke Matsunaga
    An Efficient Equivalence Checker for Combinational Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:629-634 [Conf]
  117. Jagesh V. Sanghavi, Rajeev K. Ranjan, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    High Performance BDD Package By Exploiting Memory Hiercharchy. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:635-640 [Conf]
  118. Tony Stornetta, Forrest Brewer
    Implementation of an Efficient Parallel BDD Package. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:641-644 [Conf]
  119. Edmund M. Clarke, Manpreet Khaira, Xudong Zhao
    Word Level Model Checking - Avoiding the Pentium FDIV Error. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:645-648 [Conf]
  120. Manish Pandey, Richard Raimi, Derek L. Beatty, Randal E. Bryant
    Formal Verification of PowerPC Arrays Using Symbolic Trajectory Evaluation. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:649-654 [Conf]
  121. Ilan Beer, Shoham Ben-David, Cindy Eisner, Avner Landver
    RuleBase: An Industry-Oriented Formal Verification Tool. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:655-660 [Conf]
  122. Randal E. Bryant
    Bit-Level Analysis of an SRT Divider Circuit. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:661-665 [Conf]
  123. Ásgeir Th. Eiríksson
    Integrating Formal Verification Methods with A Conventional Project Design Flow. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:666-671 [Conf]
  124. Bill Lin
    A System Design Methodology for Software/Hardware Co-Development of Telecommunication Network Applications. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:672-677 [Conf]
  125. Steven Vercauteren, Bill Lin, Hugo De Man
    A Strategy for Real-Time Kernel Support in Application-Specific HW/SW Embedded Architectures. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:678-683 [Conf]
  126. Benny Schnaider, Einat Yogev
    Software Development in a Hardware Simulation Environment. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:684-689 [Conf]
  127. Vojin Zivojnovic, Heinrich Meyr
    Compiled HW/SW Co-Simulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:690-695 [Conf]
  128. Diana Marculescu, Radu Marculescu, Massoud Pedram
    Stochastic Sequential Machine Synthesis Targeting Constrained Sequence Generation. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:696-701 [Conf]
  129. Huzefa Mehta, Robert Michael Owens, Mary Jane Irwin
    Energy Characterization based on Clustering. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:702-707 [Conf]
  130. Soha Hassoun, Carl Ebeling
    Architectural Retiming: Pipelining Latency-Constrained Circuts. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:708-713 [Conf]
  131. Kumar N. Lalgudi, Marios C. Papaefthymiou, Miodrag Potkonjak
    Optimizing Systems for Effective Block-Processing: The k-Delay Problem. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:714-719 [Conf]
  132. Peichen Pan, C. L. Liu
    Optimal Clock Period FPGA Technology Mapping for Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:720-725 [Conf]
  133. Jason Cong, Yean-Yow Hwang
    Structural Gate Decomposition for Depth-Optimal Technology Mapping in LUT-based FPGA Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:726-729 [Conf]
  134. Christian Legl, Bernd Wurth, Klaus Eckl
    A Boolean Approach to Performance-Directed Technology Mapping for LUT-Based FPGA Designs. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:730-733 [Conf]
  135. Olivier Coudert, Ramsey W. Haddad, Srilatha Manne
    New Algorithms for Gate Sizing: A Comparative Study. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:734-739 [Conf]
  136. Koichi Sato, Masamichi Kawarabayashi, Hideyuki Emura, Naotaka Maeda
    Post-Layout Optimization for Deep Submicron Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:740-745 [Conf]
  137. Cyrus Bamji, Enrico Malavasi
    Enhanced Network Flow Algorithm for Yield Optimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:746-751 [Conf]
  138. Chin-Chi Teng, Yi-Kan Cheng, Elyse Rosenbaum, Sung-Mo Kang
    Hierarchical Electromigration Reliability Diagnosis for VLSI Interconnects. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:752-757 [Conf]
  139. A. J. van Genderen, N. P. van der Meijs
    Using Articulation Nodes to Improve the Efficiency of Finite-Element based Resistance Extraction. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:758-763 [Conf]
  140. P. J. H. Elias, N. P. van der Meijs
    Extracting Circuit Models for Large RC Interconnections that are Accurate up to a Predefined Signal Frequency. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:764-769 [Conf]
  141. Douglas J. Smith
    VHDL & Verilog Compared & Contrasted - Plus Modeled Example Written in VHDL, Verilog and C. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:771-776 [Conf]
  142. Hans Sahm, Claus Mayer, Jörg Pleickhardt, Johannes Schuck, Stefan Späth
    VDHL Development System and Coding Standard. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:777-782 [Conf]
  143. De-Sheng Chen, Majid Sarrafzadeh
    An Exact Algorithm for Low Power Library-Specific Gate Re-Sizing. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:783-788 [Conf]
  144. Bernhard Rohfleisch, Alfred Kölbl, Bernd Wurth
    Reducing Power Dissipation after Technology Mapping by Structural Transformations. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:789-794 [Conf]
  145. Xiangfeng Chen, Peichen Pan, C. L. Liu
    Desensitization for Power Reduction in Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:795-800 [Conf]
  146. Luc Burgun, Frédéric Reblewski, Gérard Fenelon, Jean Barbier, Olivier Lepape
    Serial Fault Emulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:801-806 [Conf]
  147. Dong Xiang, Srikanth Venkataraman, W. Kent Fuchs, Janak H. Patel
    Partial Scan Design Based on Circuit State Information. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:807-812 [Conf]
  148. Laurence Goodby, Alex Orailoglu
    Pseudorandom-Pattern Test Resistance in High-Performance DSP Datapaths. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:813-818 [Conf]
  149. Aurobindo Dasgupta, Ramesh Karri
    Hot-Carrier Reliability Enhancement via Input Reordering and Transistor Sizing. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:819-824 [Conf]
  150. Arun N. Lokanathan, Jay B. Brockman, John E. Renaud
    A Methodology for Concurrent Fabrication Process/Cell Library Optimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:825-830 [Conf]
  151. Mien Li, Linda S. Milor
    Computing Parametric Yield Adaptively Using Local Linear Models. [Citation Graph (0, 0)][DBLP]
    DAC, 1996, pp:831-836 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002