The SCEAS System
Navigation Menu

Conferences in DBLP

Design Automation Conference (DAC) (dac)
1992 (conf/dac/92)

  1. Harish Kriplani, Farid N. Najm, Ibrahim N. Hajj
    Maximum Current Estimation in CMOS Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:2-7 [Conf]
  2. Yun-Cheng Ju, Resve A. Saleh
    Incremental Circuit Simulation Using Waveform Relaxation. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:8-11 [Conf]
  3. T. A. Johnson, Albert E. Ruehli
    Parallel Waveform Relaxation of Circuits with Global Feedback Loops. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:12-15 [Conf]
  4. Kwang-Ting Cheng, Hi-Keung Tony Ma
    On the Over-Specification Problem in Sequential ATPG Algorithms. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:16-21 [Conf]
  5. Miron Abramovici, Krishna B. Rajan, David T. Miller
    Freeze!: A New Approach for Testing Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:22-25 [Conf]
  6. Kuen-Jong Lee, Charles Njinda, Melvin A. Breuer
    SWiTEST: A Switch Level Test Generation System for CMOS Combinational Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:26-29 [Conf]
  7. Andisheh Sarabi, Marek A. Perkowski
    Fast Exact and Quasi-Minimal Minimization of Highly Testable Fixed-Polarity AND/XOR Canonical Networks. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:30-35 [Conf]
  8. Olivier Coudert, Jean Christophe Madre
    Implicit and Incremental Computation of Primes and Essential Primes of Boolean Functions. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:36-39 [Conf]
  9. Bill Lin, Olivier Coudert, Jean Christophe Madre
    Symbolic Prime Generation for Multiple-Valued Functions. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:40-44 [Conf]
  10. Dwight D. Hill, Ewald Detjens
    FPGA Design Principles (A Tutorial). [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:45-46 [Conf]
  11. Jason Cong, Lars W. Hagen, Andrew B. Kahng
    Net Partitions Yield Better Module Partitions. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:47-52 [Conf]
  12. Minshine Shih, Ernest S. Kuh, Ren-Song Tsay
    Performance-Driven System Partitioning on Multi-Chip Modules. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:53-56 [Conf]
  13. Takeo Hamada, Chung-Kuan Cheng, Paul M. Chau
    A Wire Length Estimation Technique Utilizing Neighborhood Density Equations. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:57-61 [Conf]
  14. Ting-Chi Wang, D. F. Wong
    A Graph Theoretic Technique to Speed up Floorplan Area Optimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:62-68 [Conf]
  15. Susmita Sur-Kolay, Bhargab B. Bhattacharya
    Canonical Embedding of Rectangular Duals with Applications to VLSI Floorplanning. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:69-74 [Conf]
  16. Jaijeet S. Roychowdhury, A. Richard Newton, Donald O. Pederson
    Simulating Lossy Interconnect with High Frequency Nonidealities in Linear Time. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:75-80 [Conf]
  17. Shen Lin, Ernest S. Kuh
    Transient Simulation of Lossy Interconnect. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:81-86 [Conf]
  18. Vivek Raghavan, J. Eric Bracken, Ronald A. Rohrer
    AWESpice: A General Tool for the Accurate and Efficient Simulation of Interconnect Problems. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:87-92 [Conf]
  19. David D. Ling, S. Kim, J. White
    A Boundary-Element Approach to Transient simulation of Three-Dimensional Integrated Circuit Interconnect. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:93-98 [Conf]
  20. Mehrdad Nourani, Christos A. Papachristou
    Move Frame Scheduling and Mixed Scheduling-Allocation for the Automated Synthesis of Digital Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:99-105 [Conf]
  21. Minjoong Rim, Rajiv Jain
    Representing Conditional Branches for High-Level Synthesis Applications. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:106-111 [Conf]
  22. Kazutoshi Wakabayashi, Hirohito Tanaka
    Global Scheduling Independent of Control Dependencies Based on Condition Vectors. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:112-115 [Conf]
  23. Catherine H. Gebotys
    Optimal Scheduling and Allocation of Embedded VLSI Chips. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:116-119 [Conf]
  24. Minjoong Rim, Rajiv Jain, Renato De Leone
    Optimal Allocation and Binding in High-Level Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:120-123 [Conf]
  25. Werner Geurts, Francky Catthoor, Hugo De Man
    Time Constrained Allocation and Assignment Techniques for High Throughput Signal Processing. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:124-127 [Conf]
  26. Peter Hillen
    Is Technology-Independent Design Really Practical? (Panel Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:128- [Conf]
  27. David Becker, Raj K. Singh, Stephen G. Tell
    An Engineering Environment for Hardware/Software Co-Simulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:129-134 [Conf]
  28. Ing-Jer Huang, Alvin M. Despain
    High Level Synthesis of Pipelined Instruction Set Processors and Back-End Compilers. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:135-140 [Conf]
  29. SungHo Kim, Prithviraj Banerjee, Vivek Chickermane, Janak H. Patel
    APT: An Area-Performance-Testability Driven Placement Algorithm. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:141-146 [Conf]
  30. Tong Gao, Pravin M. Vaidya, C. L. Liu
    A Performance Driven Macro-Cell Placement Algorithm. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:147-152 [Conf]
  31. Rung-Bin Lin, Eugene Shragowitz
    Fuzzy Logic Approach to Placement Problem. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:153-158 [Conf]
  32. Debashis Bhattacharya, Prathima Agrawal, Vishwani D. Agrawal
    Delay Fault Test Generation for Scan/Hold Circuits Using Boolean Expressions. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:159-164 [Conf]
  33. Tapan J. Chakraborty, Vishwani D. Agrawal, Michael L. Bushnell
    Delay Fault Models and Test Generation for Random Logic Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:165-172 [Conf]
  34. Alexander Saldanha, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    Equivalence of Robust Delay-Fault and Single Stuck-Fault Test Generation. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:173-176 [Conf]
  35. Irith Pomeranz, Sudhakar M. Reddy
    At-Speed Delay Testing of Synchronous Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:177-181 [Conf]
  36. Wayne Wolf, Andrés Takach, Chun-Yao Huang, Richard Manno, Ephrem Wu
    The Princeton University Behavioral Synthesis System. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:182-187 [Conf]
  37. A. Stoll, P. Duzy
    High-Level Synthesis from VHDL with Exact Timing Constraints. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:188-193 [Conf]
  38. Andrew Seawright, Forrest Brewer
    Synthesis from Production-Based Specifications. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:194-199 [Conf]
  39. Ronald Collet
    Which ASIC Technology Will Dominate the 1990's (Panel Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:200- [Conf]
  40. Eli Chiprout, Michel S. Nakhla
    Generalized Moment-Matching Methods for Transient Analysis of Interconnect Networks. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:201-206 [Conf]
  41. Demos F. Anastasakis, Nanda Gopal, Seok-Yoon Kim, Lawrence T. Pillage
    On the Stability of Moment-Matching Approximations in Asymptotic Waveform Evaluation. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:207-212 [Conf]
  42. John Y. Lee, Ronald A. Rohrer
    AWEsymbolic: Compiled Analysis of Linear(ized) Circuits using Asymptotic Waveform Evaluation. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:213-218 [Conf]
  43. Frank Vahid, Daniel Gajski
    Specification Partitioning for System Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:219-224 [Conf]
  44. Rajesh K. Gupta, Claudionor José Nunes Coelho Jr., Giovanni De Micheli
    Synthesis and Simulation of Digital Systems Containing Interacting Hardware and Software Components. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:225-230 [Conf]
  45. Yung-Hua Hung, Alice C. Parker
    High-Level Synthesis with Pin Constraints for Multiple-Chip Designs. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:231-234 [Conf]
  46. D. Sreenivasa Rao, Fadi J. Kurdahi
    Partitioning by Regularity Extraction. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:235-238 [Conf]
  47. Meng-Lin Yu, P. A. Subrahmanyam
    A Path-Oriented Approach for Reducing Hazards in Asynchronous Designs. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:239-244 [Conf]
  48. Alexander Saldanha, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    Circuit Structure Relations to Redundancy and Delay: The KMS Algorithm Revisited. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:245-248 [Conf]
  49. Hsi-Chuan Chen, David Hung-Chang Du, Siu-Wing Cheng
    Circuit Enhancement by Eliminating Long False Paths. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:249-252 [Conf]
  50. Abhijit Ghosh, Srinivas Devadas, Kurt Keutzer, Jacob White
    Estimation of Average Switching Activity in Combinational and Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:253-259 [Conf]
  51. William Lattin
    Why Data Models Will Become the Fastest Growing Segment of the EDA Market (Panel Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:260- [Conf]
  52. Jaushin Lee, Janak H. Patel
    Hierarchical Test Generation under Intensive Global Functional Constraints. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:261-266 [Conf]
  53. Jean François Santucci, Gérard Dray, Norbert Giambiasi, Marc Boumédine
    A Methodology to Reduce the Computational Cost of Behavioral Test Pattern Generation. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:267-272 [Conf]
  54. Praveen Vishakantaiah, Jacob A. Abraham, Magdy S. Abadir
    Automatic Test Knowledge Extraction from VHDL (ATKET). [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:273-278 [Conf]
  55. Ganesh Krishnamoorthy, John A. Nestor
    Data Path Allocation using an Extended Binding Model. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:279-284 [Conf]
  56. Brent Gregory, Don MacMillen, Dennis Fogg
    ISIS: A System for Performance Driven Resource Sharing. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:285-290 [Conf]
  57. Elke A. Rundensteiner, Daniel Gajski
    Functional Synthesis Using Area and Delay Optimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:291-296 [Conf]
  58. Rick Potter
    Why it doesn't work for CAD (Panel Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:297- [Conf]
  59. Gerry Langeler
    Directions to Watch in Design Technology (Tutorial Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:298- [Conf]
  60. Pradeep Batra, David Cooke
    Hcompare: A Hierarchical Netlist Comparison Program. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:299-304 [Conf]
  61. Georg Peltz
    An Interpreter for General Netlist Design Rule Checking. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:305-310 [Conf]
  62. Cyrus Bamji, Ravi Varadarajan
    Hierarchical Pitchmatching Compaction Using Minimum Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:311-317 [Conf]
  63. David G. Boyer
    Process Independent Constraint Graph Compaction. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:318-322 [Conf]
  64. Wonjong Kim, Joohack Lee, Hyunchul Shin
    A New Hierarchical Layout Compactor Using Simplified Graph Models. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:323-326 [Conf]
  65. Dong-Ho Lee, Sudhakar M. Reddy
    On Efficient Concurrent Fault Simulation for Synchronous Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:327-331 [Conf]
  66. Soumitra Bose, Prathima Agrawal
    Concurrent Fault Simulation of Logic Gates and Memory Blocks on Message Passing Multicomputers. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:332-335 [Conf]
  67. Hyung Ki Lee, Dong Sam Ha
    HOPE: An Efficient Parallel Fault Simulator for Synchronous Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:336-340 [Conf]
  68. Amitava Majumdar, Sarma Sastry
    On the Distribution of Fault Coverage and Test length in Random Testing of Combinational Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:341-346 [Conf]
  69. Ken Kubiak, Steven Parkes, W. Kent Fuchs, Resve A. Saleh
    Exact Evaluation of Diagnostic Test Resolution. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:347-352 [Conf]
  70. Sreejit Chakravarty, Minshen Liu
    Algorithms for Current Monitor Based Diagnosis of Bridging and Leakage Faults. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:353-356 [Conf]
  71. Patrick Girard, Christian Landrault, Serge Pravossoudovitch
    A Novel Approach to Delay-Fault Diagnosis. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:357-360 [Conf]
  72. Kevin Chung, Jonathan Rose
    TEMPT: Technology Mapping for the Exploration of FPGA Architectures with Hard-Wired Connections. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:361-367 [Conf]
  73. Prashant Sawkar, Donald E. Thomas
    Area and Delay Mapping for Table-Look-Up Based Field Programmable Gate Arrays. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:368-373 [Conf]
  74. Ulf Schlichtmann, Franc Brglez, Michael Hermann
    Characterization of Boolean Functions for Rapid Matching in FPGA Technology Mapping. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:374-379 [Conf]
  75. Rajeev Murgai, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    An Improved Synthesis Algorithm for Multiplexor-Based PGA's. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:380-386 [Conf]
  76. Patrick M. Hefferan, Steve Sapiro
    Acquiring and Maintaining State-of-the-Art DA Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:387-392 [Conf]
  77. Ichiang Lin, John A. Ludwig, Kwok Eng
    Analyzing Cycle Stealing on Synchronous Circuits with Level-Sensitive Latches. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:393-398 [Conf]
  78. Thomas G. Szymanski
    Computing Optimal Clock Schedules. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:399-404 [Conf]
  79. Narendra V. Shenoy, Kanwar Jit Singh, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    On the Temporal Equivalence of Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:405-409 [Conf]
  80. Tod Amon, Gaetano Borriello
    An Approach to Symbolic Timing Verification. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:410-413 [Conf]
  81. Benoit A. Gennart, David C. Luckham
    Validating Discrete Event Simulations Using Event Pattern Mappings. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:414-419 [Conf]
  82. Yun Sik Lee, Peter M. Maurer
    Two New Techniques for Compiled Multi-Delay Logic Simulation. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:420-423 [Conf]
  83. Larry G. Jones
    Zero Delay versus Positive Delay in an Incremental Switch-Level Simulator. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:424-427 [Conf]
  84. Fumiyasu Hirose
    Performance Evaluation of an Event-Driven Logic Simulation Machine. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:428-431 [Conf]
  85. D. A. Zein, O. P. Engel, Gary S. Ditlow
    HLSIM - A New Hierarchical Logic Simulator and Netlist Converter. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:432-437 [Conf]
  86. Wen-Jun Hsu, Wen-Zen Shen
    Coalgebraic Division for Multilevel Logic Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:438-442 [Conf]
  87. Kuang-Chien Chen, Masahiro Fujita
    Efficient Sum-to-One Subsets Algorithm for Logic Optimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:443-448 [Conf]
  88. Abdul A. Malik
    Optimization of Primitive Gate Networks Using Multiple Output Two-Level Minimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:449-453 [Conf]
  89. Michael J. Batek, John P. Hayes
    Test-Set Preserving Logic Transformations. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:454-458 [Conf]
  90. Ronald Collet
    Design and Integration Services (Panel Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:459- [Conf]
  91. Albert E. Ruehli, Hansruedi Heeb
    Challenges and Advances in Electrical Interconnect Analysis. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:460-465 [Conf]
  92. Paul D. Franzon, Slobodan Simovich, Michael Steer, Mark Basel, Sharad Mehrotra, Tom Mills
    Tools to Aid in Wiring Rule Generation for High Speed Interconnects. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:466-471 [Conf]
  93. Norman H. Chang, Keh-Jeng Chang, John Leo, Ken Lee, Soo-Young Oh
    IPDA: Interconnect Performance Design Assistant. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:472-477 [Conf]
  94. Wing Ning Li, Andrew Lim, Prathima Agrawal, Sartaj Sahni
    On the Circuit Implementation Problem. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:478-483 [Conf]
  95. D. S. Kung, Robert F. Damiano, T. A. Nix, D. J. Geiger
    BDDMAP: A Technology Mapper Based on a New Covering Algorithm. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:484-487 [Conf]
  96. John P. Fishburn
    LATTIS: An Iterative Speedup Heuristic for Mapped Logic. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:488-491 [Conf]
  97. Kamal Chaudhary, Massoud Pedram
    A Near Optimal Algorithm for Technology Mapping Minimizing Area under Delay Constraints. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:492-498 [Conf]
  98. Arny Goldfein
    Why is Today's CAD Inadequate for Designing Tomorrow's Computers (Panel Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:499- [Conf]
  99. Margarida F. Jacome, Stephen W. Director
    Design Process Management for CAD Frameworks. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:500-505 [Conf]
  100. Robert Beggs, John Sawaya, Catharine Ciric, Julius Etzl
    Automated Design Decision Support System. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:506-511 [Conf]
  101. Iksoo Pyo, Ching-Long Su, Ing-Jer Huang, Kuo-Rueih Pan, Yong-Seon Koh, Chi-Ying Tsui, Hsu-Tsun Chen, Gino Cheng, Shihming Liu, Shiqun Wu, Alvin M. Despain
    Application-Driven Design Automation for Microprocessor Design. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:512-517 [Conf]
  102. Ting-Hai Chao, Yu-Chin Hsu, Jan-Ming Ho
    Zero Skew Clock Net Routing. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:518-523 [Conf]
  103. Takashi Mitsuhashi, Ernest S. Kuh
    Power and Ground Network Topology Optimization for Cell Based VLSIs. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:524-529 [Conf]
  104. Xianlong Hong, Jin Huang, Chung-Kuan Cheng, Ernest S. Kuh
    FARM: An Efficient Feed-Through Pin Assignment Algorithm. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:530-535 [Conf]
  105. Jon Frankle
    Iterative and Adaptive Slack Allocation for Performance-Driven Layout and FPGA Routing. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:536-542 [Conf]
  106. Siu-Wing Cheng, Hsi-Chuan Chen, David Hung-Chang Du, Andrew Lim
    The Role of Long and Short Paths in Circuit Performance Optimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:543-548 [Conf]
  107. Srinivas Devadas, Kurt Keutzer, Sharad Malik, Albert Wang
    Certified Timing Verification and the Transition Delay of a Logic Circuit. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:549-555 [Conf]
  108. Maurizio Damiani, Giovanni De Micheli
    Recurrence Equations and the Optimization of Synchronous Logic Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:556-561 [Conf]
  109. Srimat T. Chakradhar, Suman Kanjilal, Vishwani D. Agrawal
    Finite State Machine Synthesis with Fault Tolerant Test Function. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:562-567 [Conf]
  110. Luciano Lavagno, Cho W. Moon, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    Solving the State Assignment Problem for Signal Transition Graphs. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:568-572 [Conf]
  111. Irith Pomeranz, Kwang-Ting Cheng
    State Assignment Using Input/Output Functions. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:573-577 [Conf]
  112. L. Lanzo
    Frameworks - User's Perspective (Panel Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:578- [Conf]
  113. Sung-Chuan Fang, Wu-Shiung Feng, Shian-Lang Lee
    A New Efficient Approach to Multilayer Channel Routing Problem. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:579-584 [Conf]
  114. Takashi Fujii, Yoko Mima, Tsuneo Matsuda, Takeshi Yoshimura
    A Multi-Layer Channel Router with New Style of Over-the-Cell Routing. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:585-588 [Conf]
  115. Tai-Tsung Ho
    New Models for Four- and Five-Layer Channel Routing. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:589-593 [Conf]
  116. Cliff Yungchin Hou, C. Y. Roger Chen
    A Pin Permutation Algorithm for Improving Over-the-Cell Channel Routing. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:594-599 [Conf]
  117. Sivakumar Natarajan, Naveed A. Sherwani, Nancy D. Holmes, Majid Sarrafzadeh
    Over-the-Cell Channel Routing for High Performance Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:600-603 [Conf]
  118. Bo Wu, Naveed A. Sherwani, Nancy D. Holmes, Majid Sarrafzadeh
    Over-the-Cell Routers for New Cell Model. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:604-607 [Conf]
  119. Yung-Te Lai, Sarma Sastry
    Edge-Valued Binary Decision Diagrams for Multi-Level Hierarchical Verification. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:608-613 [Conf]
  120. Gianpiero Cabodi, Paolo Camurati, Fulvio Corno, Silvano Gai, Paolo Prinetto, Matteo Sonza Reorda
    A New Model for Improving symbolic Product Machine Traversal. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:614-619 [Conf]
  121. Carl Pixley, Seh-Woong Jeong, Gary D. Hachtel
    Exact Calculation of Synchronization Sequences Based on Binary Decision Diagrams. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:620-623 [Conf]
  122. M. Ray Mercer, Rohit Kapur, Don E. Ross
    Functional Approaches to Generating Orderings for Efficient Symbolic Representations. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:624-627 [Conf]
  123. June-Kyung Rho, Fabio Somenzi
    Inductive Verification of Iterative Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:628-633 [Conf]
  124. Yew-Hong Leong, William P. Birmingham
    The Automatic Generation of Bus-Interface Models. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:634-637 [Conf]
  125. Usha Prabhu, Barry M. Pangrle
    Superpipelined Control and Data Path Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:638-643 [Conf]
  126. Rajiv Dutta, Jayanta Roy, Ranga Vemuri
    Distributed Design-Space Exploration for High-Level Synthesis Systems. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:644-650 [Conf]
  127. Ruchir Puri, Jun Gu
    An Efficient algorithm for Microword Length Minimization. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:651-656 [Conf]
  128. Reinaldo A. Bergamaschi, Donald Lobo, Andreas Kuehlmann
    Control Optimization in High-Level Synthesis Using Behavioral Don't Cares. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:657-661 [Conf]
  129. Ramesh Karri, Alex Orailoglu
    Transformation-Based High-Level Synthesis of Fault-Tolerant ASICs. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:662-665 [Conf]
  130. Hilary J. Kahn, Richard Goldman
    The Electronic Design Interchange Format EDIF: Present and Future. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:666-671 [Conf]
  131. Todd J. Scallan
    CAD Framework Initiative - A User Perspective. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:672-675 [Conf]
  132. Ryosuke Okuda, Sumio Oguri
    An Efficient Routing Algorithm for SOG Cell Generation on a Dense Gate-Isolated Layout Style. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:676-681 [Conf]
  133. Youlin Liao, Stan Chow
    Routing Considerations in Symbolic Layout Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:682-686 [Conf]
  134. Soohong Kim, Robert Michael Owens, Mary Jane Irwin
    Experiments with a Performance Driven Module Generator. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:687-690 [Conf]
  135. Mikael Palczewski
    Plane Parallel a Maze Router and Its Application to FPGAs. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:691-697 [Conf]
  136. Prabir C. Maulik, L. Richard Carley, Rob A. Rutenbar
    A Mixed-Integer Nonlinear Programming Approach to Analog Circuit Synthesis. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:698-703 [Conf]
  137. Abhijit Dharchoudhury, Sung-Mo Kang
    An Integrated Approach to Realistic Worst-Case Design Optimization of MOS Analog Circuits. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:704-709 [Conf]
  138. Keith Nabors, Jacob White
    Multipole-Accelerated 3-D Capacitance Extraction Algorithms for Structures with Conformal Dielectrics. [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:710-715 [Conf]
  139. John P. Eurich
    The State of EDA Standards (Panel Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:716- [Conf]
  140. Charles A. Shaw
    Manufacturing Interface (Panel Abstract). [Citation Graph (0, 0)][DBLP]
    DAC, 1992, pp:717- [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002