The SCEAS System
Navigation Menu

Conferences in DBLP

ACM Great Lakes Symposium on VLSI (glvlsi)
2003 (conf/glvlsi/2003)

  1. Chris Coulston
    Constructing exact octagonal steiner minimal trees. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:1-6 [Conf]
  2. Davide Pandini, Lawrence T. Pileggi, Andrzej J. Strojwas
    Bounding the efforts on congestion optimization for physical synthesis. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:7-10 [Conf]
  3. Weidong Wang, Tat Kee Tan, Jiong Luo, Yunsi Fei, Li Shang, Keith S. Vallerio, Lin Zhong, Anand Raghunathan, Niraj K. Jha
    A comprehensive high-level synthesis system for control-flow intensive behaviors. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:11-14 [Conf]
  4. Saied Hemati, Amir H. Banihashemi
    Iterative decoding in analog CMOS. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:15-20 [Conf]
  5. Payam Heydari
    Design issues in low-voltage high-speed current-mode logic buffers. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:21-26 [Conf]
  6. Magdy A. El-Moursy, Eby G. Friedman
    Optimum wire sizing of RLC interconnect with repeaters. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:27-32 [Conf]
  7. Roy Mader, Ivan S. Kourtev
    Reduced dynamic swing domino logic. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:33-36 [Conf]
  8. Chao You, Jong-Ru Guo, Russell P. Kraft, Kuan Zhou, Michael Chu, John F. McDonald
    A 5-20 GHz, low power FPGA implemented by SiGe HBT BiCMOS technology. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:37-40 [Conf]
  9. Manuel Salim Maza, Mónico Linares Aranda
    Interconnected rings and oscillators as gigahertz clock distribution nets. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:41-44 [Conf]
  10. Paul-Peter Sotiriadis
    Information storage capacity of crossbar switching networks. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:45-49 [Conf]
  11. Paul Beckett
    Exploiting multiple functionality for nano-scale reconfigurable systems. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:50-55 [Conf]
  12. Jincheol Yoo, Kyusun Choi, Jahan Ghaznavi
    CMOS flash analog-to-digital converter for high speed and low voltage applications. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:56-59 [Conf]
  13. Nadine Gergel, Shana Craft, John Lach
    Modeling QCA for area minimization in logic synthesis. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:60-63 [Conf]
  14. Jia Di, Jiann S. Yuan
    Power-aware pipelined multiplier design based on 2-dimensional pipeline gating. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:64-67 [Conf]
  15. Menahem Lowy, Neal Butler, Rosanne Tinkler
    Low power VLSI sequential circuit architecture using critical race control. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:68-71 [Conf]
  16. Aiyappan Natarajan, David Jasinski, Wayne Burleson, Russell Tessier
    A hybrid adiabatic content addressable memory for ultra low-power applications. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:72-75 [Conf]
  17. Timm Ostermann, Bernd Deutschmann
    TEM-cell and surface scan to identify the electromagnetic emission of integrated circuits. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:76-79 [Conf]
  18. Rolf Drechsler, Junhao Shi, Görschwin Fey
    MuTaTe: an efficient design for testability technique for multiplexor based circuits. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:80-83 [Conf]
  19. Vamsee K. Pamula, Krishnendu Chakrabarty
    Cooling of integrated circuits using droplet-based microfluidics. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:84-87 [Conf]
  20. Fang Wang, Sofiène Tahar
    Language emptiness checking using MDGs. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:88-91 [Conf]
  21. Gianluca Palermo, Cristina Silvano, S. Valsecchi, Vittorio Zaccaria
    A system-level methodology for fast multi-objective design space exploration. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:92-95 [Conf]
  22. Arindam Mukherjee, Krishna Reddy Dusety, Rajsaktish Sankaranarayan
    A practical CAD technique for reducing power/ground noise in DSM circuits. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:96-99 [Conf]
  23. Chandrasekar Rajagopal, Karthik Sridhar, Adrian Nunez
    RF CMOS circuit optimizing procedure and synthesis tool. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:100-103 [Conf]
  24. Charles Chiang, Qing Su, Ching-Shoei Chiang
    Wirelength reduction by using diagonal wire. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:104-107 [Conf]
  25. Xiaoning Qi, Goetz Leonhardt, Daniel Flees, Xiao-Dong Yang, Sangwoo Kim, Stephan Mueller, Hendrik Mau, Lawrence T. Pileggi
    A fast simulation approach for inductive effects of VLSI interconnects. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:108-111 [Conf]
  26. Chang Woo Kang, Soroush Abbaspour, Massoud Pedram
    Buffer sizing for minimum energy-delay product by using an approximating polynomial. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:112-115 [Conf]
  27. Fadi A. Aloul, Igor L. Markov, Karem A. Sakallah
    FORCE: a fast and easy-to-implement variable-ordering heuristic. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:116-119 [Conf]
  28. Atsushi Sakai, Takashi Yamada, Yoshifumi Matsushita, Hiroto Yasuura
    Routing methodology for minimizing 1nterconnect energy dissipation. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:120-123 [Conf]
  29. Jiwei Chen, Bingxue Shi
    Circuit design of a wide tuning range CMOS VCO with automatic amplitude control. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:124-127 [Conf]
  30. Li Yang, J. S. Yuan
    A decoupling technique for CMOS strong-coupled structures. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:128-131 [Conf]
  31. Ilias Tagkopoulos, Charles A. Zukowski, German Cavelier, Dimitris Anastassiou
    A custom FPGA for the simulation of gene regulatory networks. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:132-135 [Conf]
  32. Luca Benini, Alberto Macii, Enrico Macii, Elvira Omerbegovic, Massimo Poncino, Fabrizio Pro
    A novel architecture for power maskable arithmetic units. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:136-140 [Conf]
  33. John Mayega, Okan Erdogan, Paul M. Belemjian, Kuan Zhou, John F. McDonald, Russell P. Kraft
    3D direct vertical interconnect microprocessors test vehicle. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:141-146 [Conf]
  34. Adarsh K. Jain, Lin Yuan, Pushkin R. Pari, Gang Qu
    Zero overhead watermarking technique for FPGA designs. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:147-152 [Conf]
  35. Geoff Knagge, David Garrett, Sivarama Venkatesan, Chris Nicol
    Matrix datapath architecture for an iterative 4x4 MIMO noise whitening algorithm. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:153-156 [Conf]
  36. Rajkiran Gottumukkal, Vijayan K. Asari
    System level design of real time face recognition architecture based on composite PCA. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:157-160 [Conf]
  37. Jianhua Gan, Shouli Yan, Jacob A. Abraham
    Design and modeling of a 16-bit 1.5MSPS successive approximation ADC with non-binary capacitor array. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:161-164 [Conf]
  38. Magdy A. El-Moursy, Eby G. Friedman
    Shielding effect of on-chip interconnect inductance. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:165-170 [Conf]
  39. Bhushan A. Shinkre, James E. Stine
    A pipelined clock-delayed domino carry-lookahead adder. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:171-175 [Conf]
  40. Atanu Chattopadhyay, Zeljko Zilic
    A globally asynchronous locally dynamic system for ASICs and SoCs. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:176-181 [Conf]
  41. Michael I. Fuller, James P. Mabry, John A. Hossack, Travis N. Blalock
    40 MHz 0.25 um CMOS embedded 1T bit-line decoupled DRAM FIFO for mixed-signal applications. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:182-185 [Conf]
  42. Shyam Ramji, Nagu R. Dhanwada
    Design topology aware physical metrics for placement analysis. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:186-191 [Conf]
  43. Bharat Krishna, C. Y. Roger Chen, Naresh Sehgal
    A novel ultra-fast heuristic for VLSI CAD steiner trees. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:192-197 [Conf]
  44. Enrico Macii, Massimo Poncino, Sabino Salerno
    Combining wire swapping and spacing for low-power deep-submicron buses. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:198-202 [Conf]
  45. Eric S. H. Wong, Evangeline F. Y. Young, Wai-Kei Mak
    Clustering based acyclic multi-way partitioning. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:203-206 [Conf]
  46. Hua Tang, Hui Zhang, Alex Doboli
    Synthesis of continuous-time filters and analog to digital converters by integrated constraint transformation, floorplanning and routing. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:207-210 [Conf]
  47. Ameya R. Agnihotri, Patrick H. Madden
    Congestion reduction in traditional and new routing architectures. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:211-214 [Conf]
  48. Saraju P. Mohanty, N. Ranganathan, Sunil K. Chappidi
    Simultaneous peak and average power minimization during datapath scheduling for DSP processors. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:215-220 [Conf]
  49. Noureddine Chabini, Ismaïl Chabini, El Mostapha Aboulhamid, Yvon Savaria
    Unification of basic retiming and supply voltage scaling to minimize dynamic power consumption for synchronous digital designs. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:221-224 [Conf]
  50. Gianluca Palermo, Mariagiovanna Sami, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon
    Branch prediction techniques for low-power VLIW processors. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:225-228 [Conf]
  51. Boris D. Andreev, Edward L. Titlebaum, Eby G. Friedman
    Orthogonal code generator for 3G wireless transceivers. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:229-232 [Conf]
  52. Ki-seon Cho, Jong-on Park, Jin-seok Hong, Goang-seog Choi
    54x54-bit radix-4 multiplier based on modified booth algorithm. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:233-236 [Conf]
  53. Adnan Abdul-Aziz Gutub, Mohammad K. Ibrahim
    Power-time flexible architecture for GF(2k) elliptic curve cryptosystem computation. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:237-240 [Conf]
  54. Yeshwant Kolla, Yong-Bin Kim, John Carter
    A novel 32-bit scalable multiplier architecture. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:241-244 [Conf]
  55. Yanni Chen, Keshab K. Parhi
    High throughput overlapped message passing for low density parity check codes. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:245-248 [Conf]
  56. Edward Merlo, Kwang-Hyun Baek, Myung-Jun Choe
    Exponential split accumulator for high-speed reduced area low-power direct digital frequency synthesizers. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:249-252 [Conf]
  57. Jung-Lin Yang, Erik Brunvand
    Using dynamic domino circuits in self-timed systems. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:253-256 [Conf]
  58. Frank Grassert, Dirk Timmermann
    Dynamic single-rail self-timed logic structures for power efficient synchronous pipelined designs. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:257-260 [Conf]
  59. David Harris, Genevieve Breed, Matt Erler, David Diaz
    Comparison of noise tolerant precharge (NTP) to conventional feedback keepers for dynamic logic. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:261-264 [Conf]
  60. Ahmed Emira, Edgar Sánchez-Sinencio
    Variable gain amplifier with offset cancellation. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:265-268 [Conf]
  61. Atul Maheshwari, Wayne Burleson
    Repeater and current-sensing hybrid circuits for on-chip interconnects. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:269-272 [Conf]
  62. Ram Suryanarayan, Anubhav Gupta, Travis N. Blalock
    A slew rate enhancement technique for operational amplifiers based on a tunable active Gm-based capacitance multiplication circuit. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:273-276 [Conf]
  63. Yun Cheol Han, Kwang il Kim, Jun Kim, Kwang Sub Yoon
    A dual band CMOS VCO with a balanced duty cycle buffer. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:277-280 [Conf]
  64. Jiwei Chen, Bingxue Shi
    New approach to CMOS current reference with very low temperature coefficient. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:281-284 [Conf]
  65. Mohamed A. Elgamel, Sumeer Goel, Magdy A. Bayoumi
    Noise tolerant low voltage XOR-XNOR for fast arithmetic. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:285-288 [Conf]
  66. Indradeep Ghosh, Srivaths Ravi
    On automatic generation of RTL validation test benches using circuit testing techniques. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:289-294 [Conf]
  67. Emmanouil Kalligeros, Xrysovalantis Kavousianos, Dimitris Nikolos
    A highly regular multi-phase reseeding technique for scan-based BIST. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:295-298 [Conf]
  68. Zhen Guo
    Coefficient-based parametric faults detection in analog circuits. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:299-302 [Conf]
  69. Alessandro Fin, Franco Fummi, Graziano Pravadelli
    Mixing ATPG and property checking for testing HW/SW interfaces. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:303-306 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002