The SCEAS System
Navigation Menu

Conferences in DBLP

ACM Great Lakes Symposium on VLSI (glvlsi)
1999 (conf/glvlsi/1999)

  1. Irith Pomeranz, Sudhakar M. Reddy
    PASTA: Partial Scan to Enhance Test Compaction. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:4-7 [Conf]
  2. Paulo F. Flores, Horácio C. Neto, João P. Marques Silva
    On Applying Set Covering Models to Test Set Compaction. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:8-11 [Conf]
  3. Hideyuki Ichihara, Kozo Kinoshita, Seiji Kajihara
    On Test Generation with A Limited Number of Tests. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:12-15 [Conf]
  4. Spyros Tragoudas, Maria K. Michael
    Functional ATPG for Delay Faults. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:16-19 [Conf]
  5. Haridimos T. Vergos, Dimitris Nikolos, Y. Tsiatouhas, Th. Haniotakis, Michael Nicolaidis
    On Path Delay Fault Testing of Multiplexer - Based Shifters. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:20-23 [Conf]
  6. Patrick Girard, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch
    A Test Vector Ordering Technique for Switching Activity Reduction During Test Operation. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:24-0 [Conf]
  7. Aamir A. Farooqui, Vojin G. Oklobdzija
    VLSI Implementation of Early Branch Prediction Circuits for High Performance Computing. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:30-33 [Conf]
  8. Benjamin Bishop, Thomas P. Kelliher, Mary Jane Irwin
    The Design of a Register Renaming Unit. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:34-37 [Conf]
  9. O. Hauck, M. Garg, Sorin A. Huss
    Efficient and Safe Asynchronous Wave-Pipeline Architectures for Datapath and Control Unit Applications. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:38-41 [Conf]
  10. Jörg Hilgenstock, Klaus Herrmann, Peter Pirsch
    Memory Organization of a Single-Chip Video Signal Processing System with Embedded DRAM. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:42-45 [Conf]
  11. Janardhan H. Satyanarayana, Keshab K. Parhi
    Theoretical Analysis of Word-Level Switching Activity in the Presence of Glitching and Correlation. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:46-49 [Conf]
  12. Yung-Hsiang Lu, Giovanni De Micheli
    Adaptive Hard Disk Power Management on Personal Computers. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:50-0 [Conf]
  13. Yehea I. Ismail, Eby G. Friedman, José Luis Neves
    Inductance Effects in RLC Trees. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:56-59 [Conf]
  14. Emrah Acar, Altan Odabasioglu, Mustafa Celik, Lawrence T. Pileggi
    S2P: A Stable 2-Pole RC Delay and Coupling Noise Metric. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:60-63 [Conf]
  15. Yanhong Yuan, Prithviraj Banerjee
    ICE: Incremental 3-Dimensional Capacitance and Resistance Extraction for an Iterative Design Environment. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:64-67 [Conf]
  16. Ninglong Lu, Ibrahim N. Hajj
    An Exact Analytical Time-Domain Model Of Distributed RC Interconnects for High Speed Nonlinear Circuit Applications. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:68-0 [Conf]
  17. Gianluca Cornetta, Jordi Cortadella
    A Radix-16 SRT Division Unit with Speculation of the Quotient Digits. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:74-77 [Conf]
  18. Louis Luh, John Choma Jr., Jeffrey T. Draper
    Area-Efficient Area Pad Design for High Pin-Count Chips. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:78-81 [Conf]
  19. Guido Masera, Gianluca Piccinini, Massimo Ruo Roth, Maurizio Zamboni
    New 2 Gbit/s CMOS I/O pads. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:82-85 [Conf]
  20. Jörg Henkel
    A Methodology for Minimizing Power Dissipation of Embedded Systems through Hardware/Software Partitioning. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:86-0 [Conf]
  21. Anna Maria Brosa, Joan Figueras
    On Optimizing Test Strategies for Analog Cells. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:92-96 [Conf]
  22. Erik A. McShane, Krishna Shenai, Leon Alkalai, E. Kolawa, V. Boyadzhyan, B. Blaes, Wai-Chi Fang
    Novel Design for Testability of a Mixed-Signal VLSI IC. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:97-100 [Conf]
  23. Ying Wang, Han Ngee Tan
    The Development of Analog SPICE Behavioral Model Based on IBIS Model. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:101-0 [Conf]
  24. Von-Kyoung Kim, Tom Chen, Mick Tegethoff
    Fault Coverage Estimation for Early Stage of VLSI Design. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:105-108 [Conf]
  25. Bassam Shaer, Sami A. Al-Arian, David L. Landis
    Pseudo-Exhaustive Testing of Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:109-0 [Conf]
  26. David B. Janes, R. P. Andres, E. H. Chen, J. Dicke, V. R. Kolagunta, J. Lauterbach, T. Lee, J. Liu, M. R. Melloch, E. L. Peckham, T. Pletcher, R. Reifenberger, H. J. Ueng, B. L. Walsh, J. M. Woodall, C. P. Kubiak, B. Kasibhatla
    Self-Assembly Based Approaches for Metal/Molecule/Semiconductor Nanoelectronic Circuits. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:114-117 [Conf]
  27. Michael T. Niemier, Peter M. Kogge
    Logic in Wire: Using Quantum Dots to Implement a Microprocessor. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:118-121 [Conf]
  28. Árpád Csurgay, Craig S. Lent, Wolfgang Porod
    Why is Time-Varying Control Necessary for Signal Processing with Locally-Connected Quantum-Dot Arrays? [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:122-0 [Conf]
  29. T. P. E. Broekaert, B. Brar, F. Morris, A. C. Seabaugh, G. Frazier
    Resonant Tunneling Technology for Mixed Signal and Digital Circuits in the 10-100 GHz Domain. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:123-0 [Conf]
  30. Chantana Chantrapornchai, Edwin Hsing-Mean Sha, Xiaobo Sharon Hu
    Efficient Algorithms for Finding Highly Acceptable Designs Based on Module-Utility Selections. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:128-131 [Conf]
  31. Ronnie L. Wright, Michael A. Shanblatt
    Reducing BDD Size by Exploiting Structural Connectivity. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:132-135 [Conf]
  32. Shigeru Yamashita, Hiroshi Sawada, Akira Nagoya
    An Integrated Approach for Synthesizing LUT Networks. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:136-139 [Conf]
  33. Abhijit Ghosh, Sandeep K. Lodha, Ranga Vemuri
    Hierarchical Scheduling in High Level Synthesis Using Resource Sharing Across Nested Loops. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:140-143 [Conf]
  34. Rohit Sharma, C. P. Ravikumar
    Design Issues in Synthesis of Reusable Cores. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:144-0 [Conf]
  35. Masafumi Yamamoto, Hideaki Matsuzaki, Toshihiro Itoh, Takao Waho, T. Akeyoshi, J. Osaka
    Ultrahigh-Speed Circuits Using Resonant Tunneling Devices. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:150-153 [Conf]
  36. Hideaki Matsuzaki, Toshihiro Itoh, Masafumi Yamamoto
    A Novel High-Speed Flip-Flop Circuit Using RTDs and HEMTs. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:154-157 [Conf]
  37. Tetsuya Uemura, Pinaki Mazumder
    Design and Analysis of a Novel Quantum-MOS Sense Amplifier Circuit. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:158-161 [Conf]
  38. Patrick Fay, Gary H. Bernstein, David H. Chow, J. Schulman, Pinaki Mazumder, W. Williamson, B. K. Gilbert
    Integration of InAs/AlSb/GaSb Resonant Interband Tunneling Diodes with Heterostructure Field-Effect Transistors for Ultra-High-Speed Digital Circuit Applications. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:162-165 [Conf]
  39. Daniel Berzon, Terry J. Fountain
    A Memory Design in QCAs using the SQUARES Formalism. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:166-0 [Conf]
  40. Chia-Pin R. Liu, Jacob A. Abraham
    Transistor Level Synthesis for Static CMOS Combinational Circuits. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:172-175 [Conf]
  41. Carlos Humberto Llanos Quintero, Marius Strum
    SINMEF - A Decomposition Based Synthesis Tool for Large FSMs. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:176-179 [Conf]
  42. Weiwei Li, Zhongwei Xu, Yan Jin
    An Approach for Testing Safety-Critical Software. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:180-183 [Conf]
  43. Travis E. Doom, Anthony S. Wojcik, Moon-Jung Chung
    Design Recovery for Incomplete Combinational Logic. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:184-187 [Conf]
  44. Alberto Macii, Enrico Macii, Giuseppe Odasso, Massimo Poncino, Riccardo Scarsi
    Regression-Based Macromodeling for Delay Estimation of Behavioral Components. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:188-191 [Conf]
  45. Stephen A. Blythe, Robert A. Walker
    Efficiently Searching the Optimal Design Space. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:192-0 [Conf]
  46. Yiu Wu, John Ling, Ward J. Helms
    A Bandpass Sigma-Delta for Software Low-Power and Low-Voltage Radio by Using PATH Technique. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:198-201 [Conf]
  47. Seung-Moon Yoo, Sung-Mo Kang
    No-Race Charge-Recycling Differential Logic (NCDL). [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:202-205 [Conf]
  48. Tuna B. Taram, Mohammed Ismail
    Linear Transconductors Using Low Voltage Low Power Square-Law Cmos Cells. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:206-209 [Conf]
  49. Victor Varshavsky, Masayuki Tsukisaka
    Current Sensor on the Base of Permanent Pre-chargeable Amplifier. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:210-213 [Conf]
  50. Navindra Yadav, Michael J. Schulte, John Glossner
    Parallel Saturating Fractional Arithmetic Units. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:214-217 [Conf]
  51. Shugang Wei, Kensuke Shimizu
    Residue Arithmetic Circuits Based on Signed-Digit Number Representation and the VHDL Implementation. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:218-0 [Conf]
  52. H.-Ch. Dahmen, Uwe Gläser, Z. Stamenkovic
    Modell Evaluation Using Genetic Manipulation Techniques. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:224-225 [Conf]
  53. Khaled M. Elleithy, E. G. Abd-El-Fattah
    A Genetic Algorithm for Register Allocation. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:226-227 [Conf]
  54. Kanad Chakraborty, Natesan Venkateswaran
    Congestion Mitigation During Placement. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:228-229 [Conf]
  55. John Karro, James P. Cohoon
    A Spiffy Tool for the Simultaneous Placement and Global Routing for Three-Dimensional Field-Programmable Gate Arrays. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:230-231 [Conf]
  56. Sae Hwan Kim, Shiu-Kai Chin
    Formal Verification of Tree-Structured Carry-Lookahead Adders. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:232-233 [Conf]
  57. Samit Chaudhuri, Robert A. Walker
    Bounding Algorithms for Design Space Exploration. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:234-235 [Conf]
  58. Hoda S. Abdel-Aty-Zohdy, Mahmoud Al-Nsour
    Digital Neural Processing Unit for Electronic Nose. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:236-237 [Conf]
  59. Xiaohui Wang, Wolfgang Porod
    A Low Power Charge-Recycling CMOS Clock Buffer. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:238-239 [Conf]
  60. Richard F. Hobson, Allan R. Dyck
    A Multiple-Input Single-Phase Clock Flip-Flop Family. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:240-241 [Conf]
  61. Igor Lemberski
    Methodology of Logic Synthesis for Implementation Using Heterogeneous LUT FPGAs. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:242-243 [Conf]
  62. Md. Altaf-Ul-Amin, Zahari Mohamed Darus
    VHDL Design of a Test Processor Based on Mixed-Mode Test Generation. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:244-0 [Conf]
  63. Jim E. Crenshaw, Majid Sarrafzadeh, Prithviraj Banerjee, Pradeep Prabhakaran
    An Incremental Floorplanner. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:248-251 [Conf]
  64. R. Balakrishnan, Richard F. Hobson
    A Greedy Router with Technology Targetable Output. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:252-255 [Conf]
  65. Wei Li, Dilip K. Banerji
    Routability Prediction for Hierarchical FPGAs. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:256-259 [Conf]
  66. Daniel Chillet, Olivier Sentieys, Michel Corazza
    Memory Unit Design for Real Time DSP Applications. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:260-0 [Conf]
  67. Dennis Gibson, Carla N. Purdy, Alva Hare, Fred R. Beyette Jr.
    Design Automation of MEMS Systems Using Behavioral Modeling. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:266-269 [Conf]
  68. Robert L. Ewing
    Blending Symbolic Matrix and Dimensional Numerical Simulation Methodology for Mechatronics Systems. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:270-273 [Conf]
  69. N. Tayebi, A. K. Tayebi, Y. Belkacemi
    Numerical Tools for Fracture of MEMS Devices. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:274-0 [Conf]
  70. Dinos Moundanos, Jacob A. Abraham
    Formal Checking of Properties in Complex Systems Using Abstractions. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:280-283 [Conf]
  71. Subhashini Balakrishnan, Sofiène Tahar
    A Hierarchical Approach to the Formal Verification of Embedded Systems Using MDGs. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:284-287 [Conf]
  72. Stefan Hendricx, Luc J. M. Claesen
    Symbolic Multi-Level Verification of Refinement. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:288-291 [Conf]
  73. Ilya Levin, Vladimir Sinelnikov
    Self-Checking of FPGA-Based Control Units. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:292-295 [Conf]
  74. Yi Yu, Fangmei Wu
    A Software Acceptance Testing Technique Based on Knowledge Accumulation. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:296-299 [Conf]
  75. Yong Chang Kim, Kewal K. Saluja, Vishwani D. Agrawal
    A Correlation Matrix Method of Clock Partitioning for Sequential Circuit Testability. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:300-0 [Conf]
  76. Amr N. Hafez, Mohamed I. Elmasry
    A Novel Low Power Low Phase-Noise PLL Architecture for Wireless Transceivers. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:306-309 [Conf]
  77. Chulwoo Kim, Seung-Moon Yoo, Sung-Mo Kang
    NMOS Energy Recovery Logic. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:310-313 [Conf]
  78. Radu M. Secareanu, Ivan S. Kourtev, Juan Becerra, Thomas E. Watrobski, Christopher Morton, William Staub, Thomas Tellier, Eby G. Friedman
    Noise Immunity of Digital Circuits in Mixed-Signal Smart Power Systems. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:314-317 [Conf]
  79. Lim Chu Aun, S. M. Rezaul Hasan
    An all Digital BiCMOS Phase Lock Loop for VLSI Processors. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:318-320 [Conf]
  80. José Francisco López, Roberto Sarmiento, Antonio Núñez, Kamran Eshraghian, Stefan Lachowicz, Derek Abbott
    Low Power Techniques for Digital GaAs VLSI. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:321-324 [Conf]
  81. Amr G. Wassal, M. Anwarul Hasan
    A VLSI Architecture for ATM Algorithm-Agile Encryption. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:325-0 [Conf]
  82. Dirk Stroobandt
    On an Efficient Method for Estimating the Interconnection Complexity of Designs and on the Existence of Region III in Rent's Rule. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:330-331 [Conf]
  83. Erik A. McShane, Krishna Shenai, Leon Alkalai, E. Kolawa, V. Boyadzhyan, B. Blaes, Wai-Chi Fang
    Monolithic Microprocessor and RF Transceiver in 0.25-micron FDSOI CMOS. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:332-333 [Conf]
  84. S. Gailhard, Nathalie Julien, Adel Baganne, Eric Martin
    Low Power Design of an Acoustic Echo Canceller Gmdf a Algorithm on Dedicated VLSI Architectures. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:334-335 [Conf]
  85. Teruhiko Kamigata, Koso Murakami, Makoto Iwata, Hiroaki Terada
    Proposal of Data-Driven Processor Architecture Qv-K1. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:336-337 [Conf]
  86. Srinivas Katkoori, Ranga Vemuri
    Accurate Resource Estimation Algorithms for Behavioral Synthesis. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:338-339 [Conf]
  87. Von-Kyoung Kim, Tom Chen
    Assessing Defect Coverage of Memory Testing Algorithms. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:340-0 [Conf]
  88. Xiaowei Li, Paul Y. S. Cheung
    Exploiting Test Resource Optimization in Data Path Synthesis for BIST. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:342-343 [Conf]
  89. Christian Pacha, Peter Glösekötter, Karl Goser, U. Auer, W. Prost, F.-J. Tegude
    Resonant Tunneling Transistors for Threshold Logic Circuit Applications. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:344-345 [Conf]
  90. David Crawley
    A Multilevel Cache Memory Architecture for Nanoelectronics. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:346-0 [Conf]
  91. Fulvio Corno, Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante
    ALPS: A Peak Power Estimation Tool for Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:350-353 [Conf]
  92. Roberto Corgnati, Enrico Macii, Massimo Poncino
    Clustered Table-Based Macromodels for RTL Power Estimation. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:354-357 [Conf]
  93. Yuyu Chang, John Choma Jr., Jack Wills
    The Design of Cmos Gigahertz-Band Continuous-Time Active Lowpass Filters with Q-Enhancement Circuits. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:358-361 [Conf]
  94. Yuke Wang, Xiaoyu Song, El Mostapha Aboulhamid
    A New Algorithm for RNS Magnitude Comparison Based on New Chinese Remainder Theorem II. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:362-0 [Conf]
  95. Satoshi Komatsu, Makoto Ikeda, Kunihiro Asada
    Low Power Chip Interface Based on Bus Data Encoding with Adaptive Code-Book Method. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:368-371 [Conf]
  96. Chi-Hung Lin, Mohammed Ismail
    A 1.8V High Dynamic-Range CMOS High-Speed Four Quadrant Multiplier. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:372-375 [Conf]
  97. Xiaopeng Li, Mohammed Ismail
    A Second-Order Sigma-Delta Modulator with Built-in VGA to Improve SNR and Harmonic Distortion. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:376-379 [Conf]
  98. R. Shalem, Lizy Kurian John, Eugene John
    A Novel Low Power Energy Recovery Full Adder Cell. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:380-0 [Conf]
  99. Jacob Savir
    Memory Chip BIST Architecture. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:384-0 [Conf]
  100. Ihn Kim, Craig S. Steele, Jefferey G. Koller
    A Fully Pipelined, 700MBytes/s DES Encryption Core. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:386-0 [Conf]
  101. Mostafa H. Abd-El-Barr, Yanging Xu, Carl McCrosky
    Transistor Stuck-Open Fault Detection in Multilevel CMOS Circuits. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:388-0 [Conf]
  102. James C. Ellenbogen
    Advances Toward Molecular-Scale Electronic Digital Logic Circuits: A Review and Prospectus. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:392-0 [Conf]
  103. Stephen Marshall Goodnick, Jonathan P. Bird, David K. Ferry, Allen D. Gunther, Maroun D. Khoury, Michael Kozicki, M. J. Rack, T. J. Thornton, D. Vasileska-Kafedezka
    Transport in Split Gate MOS Quantum Dot Structures. [Citation Graph (0, 0)][DBLP]
    Great Lakes Symposium on VLSI, 1999, pp:394-0 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002