The SCEAS System
Navigation Menu

Conferences in DBLP

International Conference on Computer Aided Design (ICCAD) (iccad)
2002 (conf/iccad/2002)

  1. Hongmei Li, Jorge Carballido, Harry H. Yu, Vladimir I. Okhmatovski, Elyse Rosenbaum, Andreas C. Cangellaris
    Comprehensive frequency-dependent substrate noise analysis using boundary element methods. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:2-9 [Conf]
  2. Eelco Schrik, Patrick Dewilde, N. P. van der Meijs
    Theoretical and practical validation of combined BEM/FEM substrate resistance modeling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:10-15 [Conf]
  3. Dipak Sitaram, Yu Zheng, Kenneth L. Shepard
    Implicit treatment of substrate and power-ground losses in return-limited inductance extraction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:16-22 [Conf]
  4. Takayasu Sakurai
    Minimizing power across multiple technology and design levels. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:24-27 [Conf]
  5. Tadahiro Kuroda
    Optimization and control of VDD and VTH for low-power, high-speed CMOS design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:28-34 [Conf]
  6. Robert W. Brodersen, Mark Horowitz, Dejan Markovic, Borivoje Nikolic, Vladimir Stojanovic
    Methods for true power minimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:35-42 [Conf]
  7. Shih-Ping Lin, Yao-Wen Chang
    A novel framework for multilevel routing considering routability and performance. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:44-50 [Conf]
  8. Jason Cong, Min Xie, Yan Zhang
    An enhanced multilevel routing system. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:51-58 [Conf]
  9. Shabbir H. Batterywala, Narendra V. Shenoy, William Nicholls, Hai Zhou
    Track assignment: a desirable intermediate step between global routing and detailed routing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:59-66 [Conf]
  10. Hua Xiang, Kai-Yuan Chao, D. F. Wong
    ECO algorithms for removing overlaps between power rails and signal wires. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:67-74 [Conf]
  11. Nahmsuk Oh, Rohit Kapur, Thomas W. Williams
    Fast seed computation for reseeding shift register in test pattern compression. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:76-81 [Conf]
  12. Irith Pomeranz, Sudhakar M. Reddy
    On undetectable faults in partial scan circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:82-86 [Conf]
  13. Chen Wang, Sudhakar M. Reddy, Irith Pomeranz, Xijiang Lin, Janusz Rajski
    Conflict driven techniques for improving deterministic test pattern generation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:87-93 [Conf]
  14. Jing-Jia Liou, Li-C. Wang, Kwang-Ting Cheng
    On theoretical and practical considerations of path selection for delay fault testing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:94-100 [Conf]
  15. Satnam Singh
    Interface specification for reconfigurable components. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:102-109 [Conf]
  16. Lin Zhong, Niraj K. Jha
    Interconnect-aware high-level synthesis for low power. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:110-117 [Conf]
  17. Ankur Srivastava, Majid Sarrafzadeh
    Predictability: definition, ananlysis and optimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:118-121 [Conf]
  18. Jun Yuan, Ken Albin, Adnan Aziz, Carl Pixley
    Simplifying Boolean constraint solving for random simulation-vector generation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:123-127 [Conf]
  19. Katarzyna Radecka, Zeljko Zilic
    Specifying and verifying imprecise sequential datapaths by Arithmetic Transforms. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:128-131 [Conf]
  20. Roberto Passerone, Luca de Alfaro, Thomas A. Henzinger, Alberto L. Sangiovanni-Vincentelli
    Convertibility verification and converter synthesis: two faces of the same coin. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:132-139 [Conf]
  21. James Kao, Siva Narendra, Anantha Chandrakasan
    Subthreshold leakage modeling and reduction techniques. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:141-148 [Conf]
  22. Jianwen Zhu
    Symbolic pointer analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:150-157 [Conf]
  23. Priya Unnikrishnan, Guangyu Chen, Mahmut T. Kandemir, D. R. Mudgett
    Dynamic compilation for energy adaptation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:158-163 [Conf]
  24. Greg Stitt, Frank Vahid
    Hardware/software partitioning of software binaries. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:164-170 [Conf]
  25. Tim (Tianming) Kong
    A novel net weighting algorithm for timing-driven placement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:172-176 [Conf]
  26. Xiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh
    Timing-driven placement using design hierarchy guided constraint generation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:177-180 [Conf]
  27. Cristinel Ababei, Navaratnasothie Selvakkumaran, Kia Bazargan, George Karypis
    Multi-objective circuit partitioning for cutsize and path-based delay minimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:181-185 [Conf]
  28. Paul S. Zuchowski, Christopher B. Reynolds, Richard J. Grupp, Shelly G. Davis, Brendan Cremen, Bill Troxel
    A hybrid ASIC and FPGA architecture. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:187-194 [Conf]
  29. David E. Lackey, Paul S. Zuchowski, Thomas R. Bednar, Douglas W. Stout, Scott W. Gould, John M. Cohn
    Managing power and performance for System-on-Chip designs using Voltage Islands. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:195-202 [Conf]
  30. Tanay Karnik, Shekhar Borkar, Vivek De
    Sub-90nm technologies: challenges and opportunities for CAD. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:203-206 [Conf]
  31. Andrea Pacelli
    A local circuit topology for inductive parasitics. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:208-214 [Conf]
  32. Tsung-Hao Chen, Clement Luk, Hyungsuk Kim, Charlie Chung-Ping Chen
    INDUCTWISE: inductance-wise interconnect simulator and extractor. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:215-220 [Conf]
  33. Haitian Hu, David Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin S. Sapatnekar
    A precorrected-FFT method for simulating on-chip inductance. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:221-227 [Conf]
  34. Piet Vanassche, Georges G. E. Gielen, Willy M. C. Sansen
    On the difference between two widely publicized methods for analyzing oscillator phase behavior. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:229-233 [Conf]
  35. Kenneth Francken, Martin Vogels, Ewout Martens, Georges G. E. Gielen
    A behavioral simulation tool for continuous-time delta sigma modulators. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:234-239 [Conf]
  36. Jaijeet S. Roychowdhury
    Making Fourier-envelope simulation robust. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:240-245 [Conf]
  37. Soha Hassoun, Charles J. Alpert, Meera Thiagarajan
    Optimal buffered routing path constructions for single and multiple clock domain systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:247-253 [Conf]
  38. Muzhou Shao, D. F. Wong, Youxin Gao, Li-Pen Yuan, Huijing Cao
    Shaping interconnect for uniform current density. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:254-259 [Conf]
  39. Andrew B. Kahng, Bao Liu, Ion I. Mandoiu
    Non-tree routing for reliability and yield improvement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:260-266 [Conf]
  40. Pasquale Cocchini
    Concurrent flip-flop and repeater insertion for high performance integrated circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:268-273 [Conf]
  41. Tao Lin, Lawrence T. Pileggi
    Throughput-driven IC communication fabric synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:274-279 [Conf]
  42. Harshit K. Shah, Pun Shiu, Brian Bell, Mamie Aldredge, Namarata Sopory, Jeff Davis
    Repeater insertion and wire sizing optimization for throughput-centric VLSI global interconnects. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:280-284 [Conf]
  43. Sanjay Ramnath, Frederic Neuveux, Mokhtar Hirech, Felix Ng
    Test-model based hierarchical DFT synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:286-293 [Conf]
  44. Xiaoding Chen, Michael S. Hsiao
    Characteristic faults and spectral information for logic BIST. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:294-298 [Conf]
  45. Ozgur Sinanoglu, Alex Orailoglu
    A novel scan architecture for power-efficient, rapid test. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:299-303 [Conf]
  46. Peter J. Vancorenland, Philippe Coppejans, Wouter De Cock, Paul Leroux, Michiel Steyaert
    Optimization of a fully integrated low power CMOS GPS receiver. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:305-308 [Conf]
  47. Adil Koukab, Kaustav Banerjee, Michel J. Declercq
    Analysis and optimization of substrate noise coupling in single-chip RF transceiver design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:309-316 [Conf]
  48. Maria del Mar Hershenson
    Design of pipeline analog-to-digital converters via geometric programming. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:317-324 [Conf]
  49. Luca Daniel, Alberto L. Sangiovanni-Vincentelli, Jacob White
    Proximity templates for modeling of skin and proximity effects on packages and high frequency interconnect. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:326-333 [Conf]
  50. Rafael Escovar, Roberto Suaya
    Transmission line design of clock trees. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:334-340 [Conf]
  51. Guoan Zhong, Cheng-Kok Koh, Kaushik Roy
    On-chip interconnect modeling by wire duplication. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:341-346 [Conf]
  52. Matthew M. Ziegler, Mircea R. Stan
    A Case for CMOS/nano co-design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:348-352 [Conf]
  53. Vivek V. Shende, Aditya K. Prasad, Igor L. Markov, John P. Hayes
    Reversible logic circuit synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:353-360 [Conf]
  54. Bikram Baidya, Tamal Mukherjee
    Extraction and LVS for mixed-domain integrated MEMS layouts. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:361-366 [Conf]
  55. Qi Jing, Tamal Mukherjee, Gary K. Fedder
    Schematic-based lumped parameterized behavioral modeling for suspended MEMS. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:367-373 [Conf]
  56. Mahesh Ketkar, Sachin S. Sapatnekar
    Standby power optimization via transistor sizing and dual threshold voltage assignment. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:375-378 [Conf]
  57. Anoop Iyer, Diana Marculescu
    Power efficiency of voltage scaling in multiple clock, multiple voltage cores. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:379-386 [Conf]
  58. Miodrag Vujkovic, Carl Sechen
    Optimized power-delay curve generation for standard cell ICs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:387-394 [Conf]
  59. Hiran Tennakoon, Carl Sechen
    Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:395-402 [Conf]
  60. Xun Liu, Marios C. Papaefthymiou
    A Markov chain sequence generator for power macromodeling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:404-411 [Conf]
  61. Lipeng Cao
    Circuit power estimation using pattern recognition techniques. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:412-417 [Conf]
  62. Sarvesh Bhardwaj, Sarma B. K. Vrudhula, David Blaauw
    Estimation of signal arrival times in the presence of delay noise. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:418-422 [Conf]
  63. Mark A. Lavin, Lars Liebmann
    CAD computation for manufacturability: can we save VLSI technology from itself? [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:424-431 [Conf]
  64. Michael Butts, André DeHon, Seth Copen Goldstein
    Molecular electronics: devices, systems and tools for gigagate, gigabit chips. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:433-440 [Conf]
  65. Lintao Zhang, Sharad Malik
    Conflict driven learning in a quantified Boolean Satisfiability solver. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:442-449 [Conf]
  66. Fadi A. Aloul, Arathi Ramani, Igor L. Markov, Karem A. Sakallah
    Generic ILP versus specialized 0-1 ILP: an update. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:450-457 [Conf]
  67. Farzan Fallah
    Binary time-frame expansion. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:458-464 [Conf]
  68. Shihhsien S. Kuo, Michael D. Altman, Jaydeep P. Bardhan, Bruce Tidor, Jacob K. White
    Fast methods for simulation of biomolecule electrostatics. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:466-473 [Conf]
  69. Gang Li, Narayan R. Aluru
    Efficient mixed-domain analysis of electrostatic MEMS. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:474-477 [Conf]
  70. Yehia Massoud, Jacob White
    FastMag: a 3-D magnetostatic inductance extraction program for structures with permeable materials. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:478-484 [Conf]
  71. Andreas C. Lemke, Lars Hedrich, Erich Barke
    Analog circuit sizing based on formal methods using affine arithmetic. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:486-489 [Conf]
  72. Giorgio Biagetti, Simone Orcioni, L. Signoracci, Claudio Turchetti, Paolo Crippa, Michele Alessandrini
    SiSMA: a statistical simulator for mismatch analysis of MOS ICs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:490-496 [Conf]
  73. Florin Balasa, Sarat C. Maruvada, Karthik Krishnamoorthy
    Efficient solution space exploration based on segment trees in analog placement with symmetry constraints. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:497-502 [Conf]
  74. Jinjun Xiong, Jun Chen, James Ma, Lei He
    Post global routing RLC crosstalk budgeting. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:504-509 [Conf]
  75. Rouying Zhan, Haigang Feng, Qiong Wu, Guang Chen, Xiaokang Guan, Albert Z. Wang
    A technology-independent CAD tool for ESD protection device extraction: ESDExtractor. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:510-513 [Conf]
  76. Ruiqi Tian, Ronggang Yu, Xiaoping Tang, D. F. Wong
    On mask layout partitioning for electron projection lithography. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:514-518 [Conf]
  77. Sasha Novakovsky, Shy Shyman, Ziyad Hanna
    High capacity and automatic functional extraction tool for industrial VLSI circuit designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:520-525 [Conf]
  78. Hee-Hwan Kwak, In-Ho Moon, James H. Kukula, Thomas R. Shiple
    Combinational equivalence checking through function transformation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:526-533 [Conf]
  79. Jin Yang, Amit Goel
    GSTE through a case study. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:534-541 [Conf]
  80. Fan Mo, Robert K. Brayton
    Whirlpool PLAs: a regular logic structure and their synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:543-550 [Conf]
  81. Prabhakar Kudva, Andrew Sullivan, William E. Dougherty
    Metrics for structural logic synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:551-556 [Conf]
  82. Alan Mishchenko, Robert K. Brayton
    Simplification of non-deterministic multi-valued networks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:557-562 [Conf]
  83. Chao Huang, Srivaths Ravi, Anand Raghunathan, Niraj K. Jha
    High-level synthesis of distributed logic-memory architectures. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:564-571 [Conf]
  84. Preeti Ranjan Panda, Lakshmikantam Chitturi
    An energy-conscious algorithm for memory port allocation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:572-576 [Conf]
  85. Sambuddhi Hettiaratchi, Peter Y. K. Cheung, Thomas J. W. Clarke
    Energy efficient address assignment through minimized memory row switching. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:577-581 [Conf]
  86. Pinhong Chen, Yuji Kukimoto, Kurt Keutzer
    Refining switching window by time slots for crosstalk noise calculation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:583-586 [Conf]
  87. Vladimir Zolotov, David Blaauw, Supamas Sirichotiyakul, Murat R. Becer, Chanhee Oh, Rajendran Panda, Amir Grinshpon, Rafi Levy
    Noise propagation and failure criteria for VLSI designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:587-594 [Conf]
  88. Li Ding 0002, David Blaauw, Pinaki Mazumder
    Efficient crosstalk noise modeling using aggressor and tree reductions. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:595-600 [Conf]
  89. María C. Molina, José M. Mendías, Román Hermida
    Bit-level scheduling of heterogeneous behavioural specifications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:602-608 [Conf]
  90. Chun-Gi Lyuh, Taewhan Kim, Ki-Wook Kim
    Coupling-aware high-level interconnect synthesis for low power. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:609-613 [Conf]
  91. Junhyung Um, Jae-Hoon Kim, Taewhan Kim
    Layout-driven resource sharing in high-level synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:614-618 [Conf]
  92. Frank Liu, Chandramouli V. Kashyap, Charles J. Alpert
    A delay metric for RC circuits based on the Weibull distribution. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:620-624 [Conf]
  93. Larry McMurchie, Carl Sechen
    WTA: waveform-based timing analysis for deep submicron circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:625-631 [Conf]
  94. Jindrich Zejda, Paul Frain
    General framework for removal of clock network pessimism. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:632-639 [Conf]
  95. Fei Sun, Srivaths Ravi, Anand Raghunathan, Niraj K. Jha
    Synthesis of custom processors based on extensible platforms. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:641-648 [Conf]
  96. Jong-eun Lee, Kiyoung Choi, Nikil Dutt
    Efficient instruction encoding for automatic instruction set design of configurable ASIPs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:649-654 [Conf]
  97. Susan Cotterell, Frank Vahid
    Synthesis of customized loop caches for core-based embedded systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:655-662 [Conf]
  98. Xinping Zhu, Sharad Malik
    A hierarchical modeling framework for on-chip communication architectures. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:663-671 [Conf]
  99. Jason Cong, Joey Y. Lin, Wangning Long
    A new enhanced SPFD rewiring algorithm. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:672-678 [Conf]
  100. Subarnarekha Sinha, Alan Mishchenko, Robert K. Brayton
    Topologically constrained logic synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:679-686 [Conf]
  101. Victor N. Kravets, Karem A. Sakallah
    Resynthesis of multi-level circuits under tight constraints using symbolic optimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:687-693 [Conf]
  102. Shinji Kimura, Takashi Horiyama, Masaki Nakanishi, Hirotsugu Kajihara
    Folding of logic functions and its application to look up table compaction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:694-697 [Conf]
  103. Sorin Manolache, Petru Eles, Zebo Peng
    Schedulability analysis of multiprocessor real-time applications with stochastic task execution times. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:699-706 [Conf]
  104. Peng Rong, Massoud Pedram
    Battery-aware power management based on Markovian decision processes. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:707-713 [Conf]
  105. Weiping Liao, Joseph M. Basile, Lei He
    Leakage power modeling and reduction with data retention. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:714-719 [Conf]
  106. Steven M. Martin, Krisztián Flautner, Trevor N. Mudge, David Blaauw
    Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:721-725 [Conf]
  107. Bren Mochocki, Xiaobo Sharon Hu, Gang Quan
    A realistic variable voltage scheduling model for real-time applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:726-731 [Conf]
  108. Kihwan Choi, Karthik Dantu, Wei-Chung Cheng, Massoud Pedram
    Frame-based dynamic voltage and frequency scaling for a MPEG decoder. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:732-737 [Conf]
  109. Bo Hu, Malgorzata Marek-Sadowska
    Congestion minimization during placement without estimation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:739-745 [Conf]
  110. Charles J. Alpert, Gi-Joon Nam, Paul Villarrubia
    Free space management for cut-based placement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:746-751 [Conf]
  111. Deshanand P. Singh, Stephen Dean Brown
    Incremental placement for layout driven optimizations on FPGAs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:752-759 [Conf]
  112. Hui Zheng, Lawrence T. Pileggi
    Robust and passive model order reduction for circuits containing susceptance elements. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:761-766 [Conf]
  113. Yehea I. Ismail
    Efficient model order reduction via multi-node moment matching. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:767-774 [Conf]
  114. Carlos P. Coelho, Joel R. Phillips, Luis Miguel Silveira
    Optimization based passive constrained fitting. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:775-780 [Conf]
  115. Armin Biere, Wolfgang Kunz
    SAT and ATPG: Boolean engines for formal hardware verification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:782-785 [Conf]
  116. Chih-Wei Jim Chang, Malgorzata Marek-Sadowska
    ATPG-based logic synthesis: an overview. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:786-789 [Conf]
  117. Reinaldo A. Bergamaschi, John Cohn
    The A to Z of SoCs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2002, pp:790-798 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002