The SCEAS System
Navigation Menu

Conferences in DBLP

International Conference on Computer Aided Design (ICCAD) (iccad)
1991 (conf/iccad/1991)

  1. Chuck Kring, A. Richard Newton
    A Cell-Replicating Approach to Minicut-Based Circuit Partitioning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:2-5 [Conf]
  2. Rajeev Murgai, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    On Clustering for Minimum Delay/Area. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:6-9 [Conf]
  3. Lars W. Hagen, Andrew B. Kahng
    Fast Spectral Methods for Ratio Cut Partitioning and Clustering. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:10-13 [Conf]
  4. Jaidip Singh, Resve A. Saleh
    iMACSIM: A Program for Multi-Level Analog Circuit Simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:16-19 [Conf]
  5. Luis Miguel Silveira, Jacob White, Steven Leeb
    A Modified Envelope-Following Approach to Clocked Analog Circuit Simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:20-23 [Conf]
  6. David Bedrosian, Jiri Vlach
    An Accelerated Steady-State Method for Networks with Internally Controlled Switches. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:24-27 [Conf]
  7. James J. Kim, Fadi J. Kurdahi, Nohbyung Park
    Automatic Synthesis of Time-Stationary Controllers for Pipelined Data Paths. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:30-33 [Conf]
  8. Allen C.-H. Wu, Viraphol Chaiyakul, Daniel Gajski
    Layout-Area Models for High-Level Synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:34-37 [Conf]
  9. Shi-Zheng Lin, Cheng-Tsung Hwang, Yu-Chin Hsu
    Efficient Microcode Arrangement and Controller Synthesis for Application Specific Integrated Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:38-41 [Conf]
  10. Tong Gao, Pravo M. Vaidya, C. L. Liu
    A New Performance Driven Placement Algorithm. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:44-47 [Conf]
  11. Arvind Srinivasan, Kamal Chaudhary, Ernest S. Kuh
    RITUAL: Performance Driven Placement Algorithm for Small Cell ICs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:48-51 [Conf]
  12. Ching-Ting Wu, Andrew Lim, David Hung-Chang Du
    Wafer Packing for Full Mask Exposure Fabrication. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:52-55 [Conf]
  13. Sang-Gil Choi, Chong-Min Kyung
    A Floorplanning Algorithm Using Rectangular Voronoi Diagram and Force-Directed Block Shaping. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:56-59 [Conf]
  14. Jaijeet S. Roychowdhury, A. Richard Newton, Donald O. Pederson
    An Impulse-Response Based Linear Time-Complexity Algorithm for Lossy Interconnect Simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:62-65 [Conf]
  15. Dong H. Xie, Michel S. Nakhla
    Delay and Crosstalk Simulation of High-Speed VLSI Interconnects with Nonlinear Terminations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:66-69 [Conf]
  16. Hansruedi Heeb, Albert E. Ruehli
    Retarded Models for PC Board Interconnects - Or How the Speed of Light Affects your SPICE Circuit Simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:70-73 [Conf]
  17. Nanda Gopal, Dean P. Neikirk, Lawrence T. Pillage
    Evaluating RC-Interconnect Using Moment-Matching Approximations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:74-77 [Conf]
  18. Reinaldo A. Bergamaschi
    The Effects of False Paths in High-Level Synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:80-83 [Conf]
  19. Taewhan Kim, Jane W.-S. Liu, C. L. Liu
    A Scheduling Algorithm for Conditional Resource Sharing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:84-87 [Conf]
  20. Miodrag Potkonjak, Jan M. Rabaey
    Optimizing Resource Utilization Using Transformations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:88-91 [Conf]
  21. Loganath Ramachandran, Daniel Gajski
    An Algorithm for Component Selection in Performance Optimized Scheduling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:92-95 [Conf]
  22. T. W. Her, D. F. Wong
    Optimal Module Implementation and Its Application to Transistor Placement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:98-101 [Conf]
  23. Amnon Baron Cohen, Michael Shechory
    Track Assignment in the Pathway Datapath Layout Assembler. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:102-105 [Conf]
  24. H. M. A. M. Arts, Jos T. J. van Eijndhoven, Leon Stok
    Flexible Block-Multiplier Generation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:106-109 [Conf]
  25. Kartikeya Mayaram, Ping Yang, Jue-Hsien Chern
    Transient Three-Dimensional Mixed-Level Circuit and Device Simulation: Algorithms and Applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:112-115 [Conf]
  26. Andrew Lumsdaine, Mark W. Reichelt, Jacob White
    Conjugate Direction Waveform Methods for Transient Two-Dimensional Simulation for MOS Devices. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:116-119 [Conf]
  27. Chun-Jung Chen, Jyuo-Min Shyu, Wu-Shiung Feng
    Transient Sensitivity Computation for Waveform Relaxation Based Timing Simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:120-123 [Conf]
  28. Yosinori Watanabe, Robert K. Brayton
    Heuristic Minimazation of Multiple-Valued Relations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:126-129 [Conf]
  29. Arlindo L. Oliveira, Alberto L. Sangiovanni-Vincentelli
    LSAT-An Algorithm for the Synthesis of Two Level Threshold Gate Networks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:130-133 [Conf]
  30. Massoud Pedram, Narasimha B. Bhat
    Layout Driven Logic Restructuring/Decomposition. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:134-137 [Conf]
  31. Amir Milo, Smadar Nehab
    Data Framework for VLSI Design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:140-143 [Conf]
  32. Mahesh Mehendale, P. Murugavel, M. Poornima
    SLIM: A System for ASIC Library Management. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:144-147 [Conf]
  33. Klaus D. Müller-Glaser, K. Kirsch, K. Neusinger
    Estimating Essential Design Characteristics to Support Project Planning for ASIC Design Management. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:148-151 [Conf]
  34. Mani B. Srivastava, Robert W. Brodersen
    Rapid-Prototyping of Hardware and Software in a Unified Framework. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:152-155 [Conf]
  35. Peter Feldmann, Stephen W. Director
    Improved Methods for IC Yield and Quality Optimization Using Surface Integrals. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:158-161 [Conf]
  36. Yung-Ho Shih, Yusuf Leblebici, Sung-Mo Kang
    New Simulation Methods for MOS VLSI Timing and Reliability. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:162-165 [Conf]
  37. Kurt Antreich, Helmut E. Graeb
    Circuit Optimization Driven by Worst-Case Distances. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:166-169 [Conf]
  38. M. A. Styblinski, J. C. Zhang
    Circuit Performance Variability Reduction: Principles, Problems, and Practical Solutions. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:170-173 [Conf]
  39. Srinivas Devadas, Kurt Keutzer, Sharad Malik
    Delay Computation in Combinational Logic Circuits: Theory and Algorithms. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:176-179 [Conf]
  40. Patrick C. McGeer, Alexander Saldanha, Paul R. Stephan, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    Timing Analysis and Delay-Fault Test Generation using Path-Recursive Functions. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:180-183 [Conf]
  41. Patrick C. McGeer, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, Sartaj Sahni
    Performance Enhancement through the Generalized Bypass Transform. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:184-187 [Conf]
  42. Hervé J. Touati, Hamid Savoj, Robert K. Brayton
    Delay Optimization of Combinational Logic Circuits By Clustering and Partial Collapsing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:188-191 [Conf]
  43. Torsten Grüning, Udo Mahlstedt, Hartmut Koopmeiners
    DIATEST: A Fast Diagnostic Test Pattern Generator for Combinational Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:194-197 [Conf]
  44. M. Marzouki, F. L. Vargas
    Knowledge-Based Debugging of ASICs: Real Case Study and Performance Analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:198-201 [Conf]
  45. Chung-Hsing Chen, Chienwen Wu, Daniel G. Saab
    BETA: Behavioral Testability Analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:202-205 [Conf]
  46. Hsi-Chuan Chen, David Hung-Chang Du
    Path Sensitization in Critical Path Problem. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:208-211 [Conf]
  47. João P. Marques Silva, Karem A. Sakallah, Luís M. Vidigal
    FPD - An Environment for Exact Timing Analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:212-215 [Conf]
  48. Shiang-Tang Huang, Tai-Ming Parng, Jyuo-Min Shyu
    A New Approach to Solving False Path Problem in Timing Analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:216-219 [Conf]
  49. C. Duff, Gabriele Saucier
    State Assignment Based on the Reduced Dependency Theory and Recent Experimental Results. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:222-225 [Conf]
  50. Biswadip Mitra, Preeti Ranjan Panda, Parimal Pal Chaudhuri
    A Flexible Scheme for State Assignment Based on Characteristics of the FSM. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:226-229 [Conf]
  51. David Binger, David Knapp
    Encoding Multiple Outputs for Improved Column Compaction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:230-233 [Conf]
  52. Debaditya Mukherjee, Charles Njinda, Melvin A. Breuer
    Synthesis of Optimal 1-Hot Coded On-Chip Controllers for BIST Hardware. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:236-239 [Conf]
  53. Chien-In Henry Chen
    BISTSYN - A Built-In Self-Test Synthesizer. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:240-243 [Conf]
  54. Warren H. Debany Jr., Carlos R. P. Hartmann, Pramod K. Varshney, Kishan G. Mehrotra
    Comparison of Random Test Vector Generation Strategies. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:244-247 [Conf]
  55. Vladimir Castro Alves, Michael Nicolaidis, P. Lestrat, Bernard Courtois
    Built-In Self-Test for Multi-Port RAMs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:248-251 [Conf]
  56. Jay B. Brockman, Stephen W. Director
    The Hercules CAD Task Management System. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:254-257 [Conf]
  57. Moon-Jung Chung, Sangchul Kim
    The Configuration Management for Version Control in an Object-Oriented VHDL Design Environment. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:258-261 [Conf]
  58. Jukka Lahti, Matti Sipola, Jorma Kivelä
    SADE: A Graphical Tool for VHDL-Based System Analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:262-265 [Conf]
  59. Sanjiv Narayan, Frank Vahid, Daniel Gajski
    System Specification and Synthesis with the SpecCharts Language. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:266-269 [Conf]
  60. J. Vanhoof, Ivo Bolsens, Hugo De Man
    Compiling Multi-Dimensional Data Streams into Distributed DSP ASIC Memory. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:272-275 [Conf]
  61. Imtiaz Ahmad, C. Y. Roger Chen
    Post-Processor for Data Path Synthesis Using Multiport Memories. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:276-279 [Conf]
  62. F. Depuydt, Gert Goossens, Hugo De Man
    Clustering Techniques for Register Optimization During Scheduling Preprocessing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:280-283 [Conf]
  63. Gerben Essink, Emile H. L. Aarts, R. van Dongen, P. van Gerwen, Jan H. M. Korst, Kees A. Vissers
    Scheduling in Programmable Video Signal Processors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:284-287 [Conf]
  64. Georg Pelz, Uli Roettcher
    Circuit Comparison by Hierarchical Pattern Matching. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:290-293 [Conf]
  65. Keh-Jeng Chang, Soo-Young Oh, Ken Lee
    HIVE: An Efficient Interconnect Capacitance Extractor to Support Submicron Multilevel Interconnect Designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:294-297 [Conf]
  66. Takeshi Yoshitome
    Hierarchical Analyzer for VLSI Power Supply Networks Based on a New Reduction Method. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:298-301 [Conf]
  67. Joel Grodstein, Nick Rethman, Rahul Razdan, Gabriel P. Bischoff
    Automatic Detection of MOS Synchronizers for Timing Verification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:304-307 [Conf]
  68. Ronald Stewart, Jacques Benkoski
    Static Timing Analysis Using Interval Constraints. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:308-311 [Conf]
  69. Li-Ren Liu, Hsi-Chuan Chen, David Hung-Chang Du
    The Calculation of Signal Stable Ranges in Combinational Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:312-315 [Conf]
  70. Steven M. Nowick, David L. Dill
    Automatic Synthesis of Locally-Clocked Asynchronous State Machines. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:318-321 [Conf]
  71. Cho W. Moon, Paul R. Stephan, Robert K. Brayton
    Synthesis of Hazard-Free Asynchronous Circuits from Graphical Specifications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:322-325 [Conf]
  72. Kurt Keutzer, Luciano Lavagno, Alberto L. Sangiovanni-Vincentelli
    Synthesis for Testability Techniques for Asynchronous Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:326-329 [Conf]
  73. Yutaka Sekiyama, Yasuyuki Fujihara, Terumine Hayashi, Mitsuho Seki, Jiro Kusuhara, Kazuhiko Iijima, Masahiro Takakura, Koji Fukatani
    Timing-Oriented Routers for PCB Layout Design of High-Performance Computers. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:332-335 [Conf]
  74. Ren-Song Tsay
    Exact Zero Skew. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:336-339 [Conf]
  75. Tsukasa Yamauchi, Akio Ishizuka, Toshiyuki Nakata, Nobuyuki Nishiguchi, Nobuhiko Koike
    PROTON: A Parallel Detailed Router on an MIMD Parallel Machine. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:340-343 [Conf]
  76. Rajeev Jayaraman, Rob A. Rutenbar
    A Parallel Steiner Heuristic for Wirelength Estimation of Large Net Populations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:344-347 [Conf]
  77. Randal E. Bryant
    Extraction of Gate Level Models from Transistor Circuits by Four-Valued Symbolic Analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:350-353 [Conf]
  78. Andrew T. Yang, Yu-Hsu Chang
    Bipolar Timing Modeling Including Interconnects Based on Parametric Correction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:354-357 [Conf]
  79. Karim Khordoc, Mario Dufresne, Eduard Cerny
    A Stimulus/Response System Based on Hierarchical Timing Diagrams. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:358-361 [Conf]
  80. Frank Vahid, Daniel Gajski
    Obtaining Functionally Equivalent Simulations using VHDL and a Time-Shift Transformation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:362-365 [Conf]
  81. Andreas Münzner, Günter Hemme
    Converting Combinational Circuits into Pipelined Data Paths. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:368-371 [Conf]
  82. Kwang-Ting Cheng
    An ATPG-Based Approach to Sequential Logic Optimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:372-375 [Conf]
  83. Carl Pixley, Gary Beihl
    Calculating Resetability and Reset Sequences. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:376-379 [Conf]
  84. Filip Van Aelten, Jonathan Allen, Srinivas Devadas
    Verification of Relations Between Synchronous Machines. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:380-383 [Conf]
  85. Edward W. Y. Liu, Alberto L. Sangiovanni-Vincentelli, Georges G. E. Gielen, Paul R. Gray
    A Behavioral Representation for Nyquist Rate A/D Converters. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:386-389 [Conf]
  86. Prabir C. Maulik, L. Richard Carley
    Automating Analog Circuit Design using Constrained Optimization Techniques. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:390-393 [Conf]
  87. John M. Cohn, David J. Garrod, Rob A. Rutenbar, L. Richard Carley
    Techniques for Simultaneous Placement and Routing of Custom Analog Cells in KOAN/ANAGRAM II. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:394-397 [Conf]
  88. Vivek Chickermane, Janak H. Patel
    A Fault Oriented Partial Scan Design Approach. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:400-403 [Conf]
  89. Jing-Yang Jou, Kwang-Ting Cheng
    Timing-Driven Partial Scan. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:404-407 [Conf]
  90. Rajesh Gupta, Melvin A. Breuer
    Ordering Storage Elements in a Single Scan Chain. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:408-411 [Conf]
  91. James H. Kukula, Srinivas Devadas
    Finite State Machine Decomposition by Transition Pairing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:414-417 [Conf]
  92. June-Kyung Rho, Gary D. Hachtel, Fabio Somenzi
    Don't Care Sequences and the Optimization of Interacting Finite State Machines. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:418-421 [Conf]
  93. Keisuke Bekki, Tohru Nagai, Nobuhiro Hamada, Tsuguo Shimizu, Noriharu Hiratsuka, Kazumasa Shima
    An Automatic Finite State Machine Synthesis Using Temporal Logic Decomposition. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:422-425 [Conf]
  94. Nancy D. Holmes, Naveed A. Sherwani, Majid Sarrafzadeh
    Algorithms for Three-Layer Over-The-Cell Channel Routing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:428-431 [Conf]
  95. Masayuki Terai, Kazuhiro Takahashi, Kazuo Nakajima, Koji Sato
    A New Model for Over-The-Cell Channel Routing with Three Layers. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:432-435 [Conf]
  96. Yachyang Sun, Sai-keung Dong, Shinji Sato, C. L. Liu
    A Channel Router for Single Layer Customization Technology. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:436-439 [Conf]
  97. Cliff Yungchin Hou, C. Y. Roger Chen
    A Hierarchical Methodology to Improve Channel Routing by Pin Permutation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:440-443 [Conf]
  98. Dong-Ho Lee, Sudhakar M. Reddy
    A New Test Generation Method for Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:446-449 [Conf]
  99. Irith Pomeranz, Sudhakar M. Reddy
    Test Generation for Synchronous Sequential Circuits Based on Fault Extraction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:450-453 [Conf]
  100. Irith Pomeranz, Sudhakar M. Reddy, Lakshmi N. Reddy
    Increasing Fault Coverage for Synchronous Sequential Circuits by the Multiple Observation Time Test Strategy. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:454-457 [Conf]
  101. Jaushin Lee, Janak H. Patel
    A Signal-Driven Discrete Relaxation Technique for Architectural Level Test Generation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:458-461 [Conf]
  102. Seh-Woong Jeong, Bernard Plessier, Gary D. Hachtel, Fabio Somenzi
    Extended BDD's: Trading off Canonicity for Structure in Verification Algorithms. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:464-467 [Conf]
  103. Jawahar Jain, Jim Bitner, Donald S. Fussell, Jacob A. Abraham
    Probabilistic Design Verification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:468-471 [Conf]
  104. Nagisa Ishiura, Hiroshi Sawada, Shuzo Yajima
    Minimazation of Binary Decision Diagrams Based on Exchanges of Variables. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:472-475 [Conf]
  105. Seon-Woong Jeong, Bernard Plessier, Gary D. Hachtel, Fabio Somenzi
    Variable Ordering and Selection for FSM Traversal. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:476-479 [Conf]
  106. Sachin S. Sapatnekar, Vasant B. Rao, Pravin M. Vaidya
    A Convex Optimization Approach to Transistor Sizing for CMOS Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:482-485 [Conf]
  107. Edgar Auer, Werner L. Schiele, Georg Sigl
    A New Linear Placement Algorithm for Cell Generation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:486-489 [Conf]
  108. Katsunori Tani, Kyoichi Izumi, Masahiko Kashimura, Tsuneo Matsuda, Takashi Fujii
    Two-Dimensional Layout Synthesis for Large-Scale CMOS Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:490-493 [Conf]
  109. Sen-Pin Lin, Charles Njinda, Melvin A. Breuer
    A Systematic Approach for Designing Testable VLSI Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:496-499 [Conf]
  110. Edwin Hsing-Mean Sha, Liang-Fang Chao
    Design for Easily Applying Test Vectors to Improve Delay Fault Coverage. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:500-503 [Conf]
  111. Mark D. Sloan, William A. Rogers, Srihari Shoroff
    The Impedance Fault Model and Design for Robust Impedance Fault Testability. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:504-507 [Conf]
  112. Masahiro Fujita, Yutaka Tamiya, Yuji Kukimoto, Kuang-Chien Chen
    Application of Boolean Unification to Combinational Logic Synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:510-513 [Conf]
  113. Hamid Savoj, Robert K. Brayton, Hervé J. Touati
    Extracting Local Don't Cares for Network Optimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:514-517 [Conf]
  114. Hamid Savoj, Robert K. Brayton
    Observability Relations and Observability Don't Cares. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:518-521 [Conf]
  115. Yang Cai, D. F. Wong
    Minimizing Channel Density by Shifting Blocks and Terminals. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:524-527 [Conf]
  116. Malgorzata Marek-Sadowska, Majid Sarrafzadeh
    The Crossing Distribution Problem. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:528-531 [Conf]
  117. Moazzem Hossain, Naveed A. Sherwani
    On Topological Via Minimization and Routing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:532-535 [Conf]
  118. S. Miriyala, Jahangir A. Hashmi, Naveed A. Sherwani
    Switchbox Steiner Tree Problem in Presence of Obstacles. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:536-539 [Conf]
  119. Nikolaus Gouders, Reinhard Kaibel
    PARIS: A Parallel Pattern Fault Simulator for Synchronous Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:542-545 [Conf]
  120. Elizabeth M. Rudnick, Thomas M. Niermann, Janak H. Patel
    Methods for Reducing Events in Sequential Circuit Fault Simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:546-549 [Conf]
  121. Noriyuki Takahashi, Nagisa Ishiura, Shuzo Yajima
    Fault Simulation for Multiple Faults Using Shared BDD Representation of Fault Sets. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:550-553 [Conf]
  122. Terry Lee, Ibrahim N. Hajj
    A Switch-Level Matrix Approach to Transistor-Level Fault Simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:554-557 [Conf]
  123. Masahiro Fujita, Yusuke Matsunaga
    Multi-Level Logic Minimization Based on Minimal Support and its Application to the Minimization of Look-Up Table Type FPGAs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:560-563 [Conf]
  124. Rajeev Murgai, Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    Improved Logic Synthesis Algorithms for Table Look Up Architectures. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:564-567 [Conf]
  125. Robert J. Francis, Jonathan Rose, Zvonko G. Vranesic
    Technology Mapping on Lookup Table-Based FPGAs for Performance. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:568-571 [Conf]
  126. Rajeev Murgai, Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    Performance Directed Synthesis for Table Look Up Programmable Gate Arrays. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1991, pp:572-575 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002