The SCEAS System
Navigation Menu

Conferences in DBLP

International Conference on Computer Aided Design (ICCAD) (iccad)
1994 (conf/iccad/1994)

  1. Shih-Chieh Chang, Malgorzata Marek-Sadowska
    Perturb and simplify: multi-level boolean network optimizer. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:2-5 [Conf]
  2. Wolfgang Kunz, Premachandran R. Menon
    Multi-level logic optimization by implication analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:6-13 [Conf]
  3. Daniel Brand, Anthony D. Drumm, Sandip Kundu, Prakash Narain
    Incremental synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:14-18 [Conf]
  4. David Karchmer, Jonathan Rose
    Definition and solution of the memory packing problem for field-programmable systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:20-26 [Conf]
  5. David J. Kolson, Alexandru Nicolau, Nikil D. Dutt
    Integrating program transformations in the memory-based synthesis of image and video algorithms. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:27-30 [Conf]
  6. Florin Balasa, Francky Catthoor, Hugo De Man
    Dataflow-driven memory allocation for multi-dimensional signal processing systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:31-34 [Conf]
  7. Uwe Gläser, Heinrich Theodor Vierhaus, M. Kley, A. Wiederhold
    Test generation for bridging faults in CMOS ICs based on current monitoring versus signal propagation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:36-39 [Conf]
  8. Daniel G. Saab, Youssef Saab, Jacob A. Abraham
    Iterative [simulation-based genetics + deterministic techniques]= complete ATPG0. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:40-43 [Conf]
  9. Giri Devarayanadurg, Mani Soma
    Analytical fault modeling and static test generation for analog ICs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:44-47 [Conf]
  10. Honghua Yang, D. F. Wong
    Efficient network flow based min-cut balanced partitioning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:50-55 [Conf]
  11. Jason Cong, Wilburt Labio, Narayanan Shivakumar
    Multi-way VLSI circuit partitioning based on dual net representation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:56-62 [Conf]
  12. Charles J. Alpert, Andrew B. Kahng
    A general framework for vertex orderings, with applications to netlist clustering. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:63-67 [Conf]
  13. Gary D. Hachtel, Mariano Hermida de la Rica, Abelardo Pardo, Massimo Poncino, Fabio Somenzi
    Re-encoding sequential circuits to reduce power dissipation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:70-73 [Conf]
  14. Mazhar Alidina, José C. Monteiro, Srinivas Devadas, Abhijit Ghosh, Marios C. Papaefthymiou
    Precomputation-based sequential logic optimization for low power. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:74-81 [Conf]
  15. Chi-Ying Tsui, Massoud Pedram, Chih-Ang Chen, Alvin M. Despain
    Low power state assignment targeting two-and multi-level logic implementations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:82-87 [Conf]
  16. Miodrag Potkonjak, Jan M. Rabaey
    Algorithm selection: a quantitative computation-intensive optimization approach. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:90-95 [Conf]
  17. Jörg Henkel, Rolf Ernst, Ulrich Holtmann, Thomas Benner
    Adaptation of partitioning and high-level synthesis in hardware/software co-synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:96-100 [Conf]
  18. Bill Lin, Steven Vercauteren
    Synthesis of concurrent system interface modules with automatic protocol conversion generation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:101-108 [Conf]
  19. Sybille Hellebrand, Hans-Joachim Wunderlich
    An efficient procedure for the synthesis of fast self-testable controller structures. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:110-116 [Conf]
  20. Sanjay Gupta, Janusz Rajski, Jerzy Tyszer
    Test pattern generation based on arithmetic operations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:117-124 [Conf]
  21. Chen-Huan Chiang, Sandeep K. Gupta
    Random pattern testable logic synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:125-128 [Conf]
  22. Anmol Mathur, C. L. Liu
    Compression-relaxation: a new approach to performance driven placement for regular architectures. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:130-136 [Conf]
  23. Wern-Jieh Sun, Carl Sechen
    A loosely coupled parallel algorithm for standard cell placement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:137-144 [Conf]
  24. Weitong Chuang, Ibrahim N. Hajj
    Delay and area optimization for compact placement by gate resizing and relocation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:145-148 [Conf]
  25. Hannah Honghua Yang, D. F. Wong
    Edge-map: optimal performance driven technology mapping for iterative LUT based FPGA designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:150-155 [Conf]
  26. Nozomu Togawa, Masao Sato, Tatsuo Ohtsuki
    A simultaneous technology mapping, placement, and global routing algorithm for field-programmable gate arrays. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:156-163 [Conf]
  27. Chih-Chang Lin, Malgorzata Marek-Sadowska, Duane Gatlin
    Universal logic gate for FPGA design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:164-168 [Conf]
  28. Hsiao-Ping Juan, Viraphol Chaiyakul, Daniel D. Gajski
    Condition graphs for high-quality behavioral synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:170-174 [Conf]
  29. Claudionor José Nunes Coelho Jr., Giovanni De Micheli
    Dynamic scheduling and synchronization synthesis of concurrent digital systems under system-level constraints. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:175-181 [Conf]
  30. Seong Yong Ohm, Fadi J. Kurdahi, Nikil D. Dutt
    Comprehensive lower bound estimation from behavioral descriptions. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:182-187 [Conf]
  31. Abhijit Dharchoudhury, Sung-Mo Kang, K. H. (Kane) Kim, S. H. Lee
    Fast and accurate timing simulation with regionwise quadratic models of MOS I-V characteristics. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:190-194 [Conf]
  32. Meng-Lin Yu, Bryan D. Ackland
    VLSI timing simulation with selective dynamic regionization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:195-199 [Conf]
  33. Syed A. Aftab, M. A. Styblinski
    A new efficient approach to statistical delay modeling of CMOS digital combinational circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:200-203 [Conf]
  34. Jason Cong, Cheng-Kok Koh
    Simultaneous driver and wire sizing for performance and power optimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:206-212 [Conf]
  35. Andrew B. Kahng, Chung-Wen Albert Tsao
    Low-cost single-layer clock trees with exact zero Elmore delay skew. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:213-218 [Conf]
  36. Gustavo E. Téllez, Majid Sarrafzadeh
    Clock period constrained minimal buffer insertion in clock trees. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:219-223 [Conf]
  37. Narendra V. Shenoy, Richard L. Rudell
    Efficient implementation of retiming. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:226-233 [Conf]
  38. Tolga Soyata, Eby G. Friedman
    Retiming with non-zero clock skew, variable register, and interconnect delay. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:234-241 [Conf]
  39. Joel Grodstein, Eric Lehman, Heather Harkness, Hervé J. Touati, Bill Grundmann
    Optimal latch mapping and retiming within a tree. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:242-245 [Conf]
  40. Mark H. Linderman, Miriam Leeser
    Simulation of digital circuits in the presence of uncertainty. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:248-251 [Conf]
  41. Wolfgang T. Eisenmann, Helmut E. Graeb
    Fast transient power and noise estimation for VLSI circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:252-257 [Conf]
  42. Peter M. Maurer
    The Inversion Algorithm for digital simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:258-261 [Conf]
  43. Michiko Miura-Mattausch, Ute Feldmann, Alexander Rahm, Michael Bollu, Dominique Savignac
    Unified complete MOSFET model for analysis of digital and analog circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:264-267 [Conf]
  44. J. R. Phillips, J. White
    A precorrected-FFT method for capacitance extraction of complicated 3-D structures. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:268-271 [Conf]
  45. Eric Felt, Amit Narayan, Alberto L. Sangiovanni-Vincentelli
    Measurement and modeling of MOS transistor current mismatch in analog IC's. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:272-277 [Conf]
  46. Jae Chung, Chung-Kuan Cheng
    Skew sensitivity minimization of buffered clock tree. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:280-283 [Conf]
  47. Shen Lin, C. K. Wong
    Process-variation-tolerant clock skew minimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:284-288 [Conf]
  48. Mitsuho Seki, Kenji Inoue, Kazuo Kato, Kouki Tsurusaki, Shin'ichi Fukasawa, Hitoshi Sasaki, Mutsuhito Aizawa
    A specified delay accomplishing clock router using multiple layers. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:289-292 [Conf]
  49. Radu Marculescu, Diana Marculescu, Massoud Pedram
    Switching activity analysis considering spatiotemporal correlations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:294-299 [Conf]
  50. Tan-Li Chou, Kaushik Roy, Sharat Prasad
    Estimation of circuit activity considering signal correlations and simultaneous switching. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:300-303 [Conf]
  51. Jiing-Yuan Lin, Tai-Chien Liu, Wen-Zen Shen
    A cell-based power estimation in CMOS combinational circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:304-309 [Conf]
  52. Smita Bakshi, Daniel D. Gajski
    Design exploration for high-performance pipelines. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:312-316 [Conf]
  53. Yung-Ming Fang, D. F. Wong
    Simultaneous functional-unit binding and floorplanning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:317-321 [Conf]
  54. Kazuhito Ito, Lori E. Lucke, Keshab K. Parhi
    Module selection and data format conversion for cost-optimal DSP synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:322-329 [Conf]
  55. Irith Pomeranz, Sudhakar M. Reddy
    On testing delay faults in macro-based combinational circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:332-339 [Conf]
  56. Abhijit Chatterjee, Jacob A. Abraham
    RAFT191486: a novel program for rapid-fire test and diagnosis of digital logic for marginal delays and delay faults. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:340-343 [Conf]
  57. Chen-Yang Pan, Kwang-Ting Cheng, Sandeep Gupta
    A comprehensive fault macromodel for opamps. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:344-348 [Conf]
  58. Shigetoshi Nakatake, Yoji Kajitani
    Channel-driven global routing with consistent placement (extended abstract). [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:350-355 [Conf]
  59. Yao-Wen Chang, Shashidhar Thakur, Kai Zhu, D. F. Wong
    A new global routing algorithm for FPGAs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:356-361 [Conf]
  60. Yu-Liang Wu, Douglas Chang
    On the NP-completeness of regular 2-D FPGA routing architectures and a novel solution. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:362-366 [Conf]
  61. R. Iris Bahar, Gary D. Hachtel, Enrico Macii, Fabio Somenzi
    A symbolic method to reduce power consumption of circuits containing false paths. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:368-371 [Conf]
  62. Sasan Iman, Massoud Pedram
    Multi-level network optimization for low power. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:372-377 [Conf]
  63. Yutaka Tamiya, Yusuke Matsunaga, Masahiro Fujita
    LP based cell selection with constraints of timing, area, and power consumption. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:378-381 [Conf]
  64. Vivek Tiwari, Sharad Malik, Andrew Wolfe
    Power analysis of embedded software: a first step towards software power minimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:384-390 [Conf]
  65. Ing-Jer Huang, Alvin M. Despain
    Generating instruction sets and microarchitectures from applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:391-396 [Conf]
  66. Clifford Liem, Trevor C. May, Pierre G. Paulin
    Register assignment through resource classification for ASIP microcode generation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:397-402 [Conf]
  67. Roland W. Freund, Peter Feldmann
    Efficient small-signal circuit analysis and sensitivity computations with the PVL algorithm. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:404-411 [Conf]
  68. Haifang Liao, Wayne Wei-Ming Dai
    Capturing time-of-flight delay for transient analysis based on scattering parameter macromodel. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:412-417 [Conf]
  69. Noel Menezes, Satyamurthy Pullela, Florentin Dartu, Lawrence T. Pillage
    RC interconnect synthesis-a moment fitting approach. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:418-425 [Conf]
  70. Kazuhiro Takahashi, Kazuo Nakajima, Masayuki Terai, Koji Sato
    Adaptive cut line selection in min-cut placement for large scale sea-of-gates arrays. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:428-431 [Conf]
  71. Venkat Thanvantri, Sartaj K. Sahni
    Folding a stack of equal width components. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:432-435 [Conf]
  72. Peichen Pan, Weiping Shi, C. L. Liu
    Area minimization for hierarchical floorplans. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:436-440 [Conf]
  73. Carl Pixley, Vigyan Singhal, Adnan Aziz, Robert K. Brayton
    Multi-level synthesis for safe replaceability. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:442-449 [Conf]
  74. Felice Balarin, Alberto L. Sangiovanni-Vincentelli
    Iterative algorithms for formal verification of embedded real-time systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:450-457 [Conf]
  75. Gitanjali Swamy, Robert K. Brayton
    Incremental formal design verification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:458-465 [Conf]
  76. Timothy M. Burks, Karem A. Sakallah
    Optimization of critical paths in circuits with level-sensitive latches. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:468-473 [Conf]
  77. Michel R. C. M. Berkelaar, Pim H. W. Buurman, Jochen A. G. Jess
    Computing the entire active area/power consumption versus delay trade-off curve for gate sizing with a piecewise linear simulator. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:474-480 [Conf]
  78. How-Rern Lin, TingTing Hwang
    Dynamical identification of critical paths for iterative gate sizing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:481-484 [Conf]
  79. Salvador Mir, Vladimir Kolarik, Marcelo Lubaszewski, C. Nielsen, Bernard Courtois
    Built-in self-test and fault diagnosis of fully differential analogue circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:486-490 [Conf]
  80. Karim Arabi, Bozena Kaminska, Janusz Rzeszut
    A new built-in self-test approach for digital-to-analog and analog-to-digital converters. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:491-494 [Conf]
  81. Georges G. E. Gielen, Zhihua Wang, Willy M. C. Sansen
    Fault detection and input stimulus determination for the testing of analog integrated circuits based on power-supply current monitoring. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:495-498 [Conf]
  82. Pieter van der Wolf, K. Olav ten Bosch, Alfred van der Hoeven
    An enhanced flow model for constraint handling in hierarchical multi-view design environments. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:500-507 [Conf]
  83. Bernd Schürmann, Joachim Altmeyer, Martin Schütze
    On modeling top-down VLSI design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:508-515 [Conf]
  84. Margarida F. Jacome, Stephen W. Director
    A formal basis for design process planning and management. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:516-521 [Conf]
  85. Gert Goossens, Ivo Bolsens, Bill Lin, Francky Catthoor
    Design of heterogeneous ICs for mobile and personal communication systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:524-531 [Conf]
  86. Michael A. Schuette, John R. Barr
    Embedded systems design for low energy consumption. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:534-540 [Conf]
  87. Bill Lin, Srinivas Devadas
    Synthesis of hazard-free multi-level logic under multiple-input changes from binary decision diagrams. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:542-549 [Conf]
  88. Kenneth Y. Yun, Bill Lin, David L. Dill, Srinivas Devadas
    Performance-driven synthesis of asynchronous controllers. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:550-557 [Conf]
  89. Polly Siegel, Giovanni De Micheli
    Decomposition methods for library binding of speed-independent asynchronous designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:558-565 [Conf]
  90. Irith Pomeranz, Sudhakar M. Reddy
    On error correction in macro-based circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:568-575 [Conf]
  91. Vamsi Boppana, W. Kent Fuchs
    Fault dictionary compaction by output sequence removal. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:576-579 [Conf]
  92. Hiroaki Iwashita, Satoshi Kowatari, Tsuneo Nakata, Fumiyasu Hirose
    Automatic test program generation for pipelined processors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:580-583 [Conf]
  93. Tamal Mukherjee, L. Richard Carley, Rob A. Rutenbar
    Synthesis of manufacturable analog circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:586-593 [Conf]
  94. F. Medeiro, Francisco V. Fernández, Rafael Domínguez-Castro, Ángel Rodríguez-Vázquez
    A statistical optimization-based approach for automated sizing of analog cells. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:594-597 [Conf]
  95. Alper Demir, Edward W. Y. Liu, Alberto L. Sangiovanni-Vincentelli
    Time-domain non-Monte Carlo noise simulation for nonlinear dynamic circuits with arbitrary excitations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:598-603 [Conf]
  96. Xiaolin Liu, Ioannis G. Tollis
    Improving over-the-cell channel routing in standard cell design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:606-609 [Conf]
  97. Tong Gao, C. L. Liu
    Minimum crosstalk switchbox routing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:610-615 [Conf]
  98. Desmond Kirkpatrick, Alberto L. Sangiovanni-Vincentelli
    Techniques for crosstalk avoidance in the physical design of high-performance digital systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:616-619 [Conf]
  99. Pranav Ashar, Matthew Cheong
    Efficient breadth-first manipulation of binary decision diagrams. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:622-627 [Conf]
  100. Shipra Panda, Fabio Somenzi, Bernard Plessier
    Symmetry detection and dynamic variable ordering of decision diagrams. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:628-631 [Conf]
  101. Yuji Kukimoto, Masahiro Fujita, Robert K. Brayton
    A redesign technique for combinational circuits based on gate reconnections. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:632-637 [Conf]
  102. Sujit Dey, Miodrag Potkonjak
    Non-scan design-for-testability of RT-level data paths. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:640-645 [Conf]
  103. Toshinobu Ono
    Selecting partial scan flip-flops for circuit partitioning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:646-650 [Conf]
  104. Nur A. Touba, Edward J. McCluskey
    Logic synthesis techniques for reduced area implementation of multilevel circuits with concurrent error detection. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:651-654 [Conf]
  105. Jianfeng Shao, Ramesh Harjani
    Macromodeling of analog circuits for hierarchical circuit design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:656-663 [Conf]
  106. Qicheng Yu, Carl Sechen
    Approximate symbolic analysis of large analog integrated circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:664-671 [Conf]
  107. Eric Felt, Alberto L. Sangiovanni-Vincentelli
    Testing of analog systems using behavioral models and optimal experimental design techniques. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:672-678 [Conf]
  108. Kai-Yuan Chao, D. F. Wong
    Layer assignment for high-performance multi-chip modules. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:680-685 [Conf]
  109. Wei-Liang Lin, Majid Sarrafzadeh, Chak-Kuen Wong
    The reproducing placement problem with applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:686-689 [Conf]
  110. Chih-Liang Eric Cheng
    RISA: accurate and efficient placement routability modeling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:690-695 [Conf]
  111. Chunduri Rama Mohan, Partha Pratim Chakrabarti
    A new approach for factorizing FSM's. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:698-701 [Conf]
  112. Ney Laert Vilar Calazans
    Boolean constrained encoding: a new formulation and a case study. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:702-706 [Conf]
  113. Heinz-Josef Eikerling, Ralf Hunstock, Raul Camposano
    Optimization of hierarchical designs using partitioning and resynthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:707-712 [Conf]
  114. Chen-Pin Kung, Chen-Shang Lin
    HyHOPE: a fast fault simulator with efficient simulation of hypertrophic faults. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:714-718 [Conf]
  115. Abhijit Dharchoudhury, Sung-Mo Kang, H. Cha, J. H. Patel
    Fast timing simulation of transient faults in digital circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:719-722 [Conf]
  116. Jer-Min Jou, Shung-Chih Chen
    A fast and memory-efficient diagnostic fault simulation for sequential circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:723-726 [Conf]
  117. John R. Feehrer, Harry F. Jordan
    Timing uncertainty analysis for time-of-flight systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:728-735 [Conf]
  118. Subhrajit Bhattacharya, Sujit Dey, Franc Brglez
    Provably correct high-level timing analysis without path sensitization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:736-742 [Conf]
  119. Jin-fuw Lee, Donald T. Tang, C. K. Wong
    A timing analysis algorithm for circuits with level-sensitive latches. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:743-748 [Conf]
  120. Naresh Sehgal, C. Y. Roger Chen, John M. Acken
    An object-oriented cell library manager. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:750-753 [Conf]
  121. Joachim Altmeyer, Stefan Ohnsorge, Bernd Schürmann
    Reuse of design objects in CAD frameworks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:754-761 [Conf]
  122. Olav Schettler, Susanne Heymann
    Towards support for design description languages in EDA framework. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1994, pp:762-767 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002