The SCEAS System
Navigation Menu

Conferences in DBLP

International Conference on Computer Aided Design (ICCAD) (iccad)
1995 (conf/iccad/1995)

  1. Robert B. Jones, David L. Dill, Jerry R. Burch
    Efficient validity checking for processor verification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:2-6 [Conf]
  2. Mark Aagaard, Carl-Johan H. Seger
    The formal verification of a pipelined double-precision IEEE floating-point multiplier. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:7-10 [Conf]
  3. K. J. Singh, P. A. Subrahmanyam
    Extracting RTL models from transistor netlists. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:11-17 [Conf]
  4. Taku Uchino, Fumihiro Minami, Takashi Mitsuhashi, Nobuyuki Goto
    Switching activity analysis using Boolean approximation method. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:20-25 [Conf]
  5. Peter A. Beerel, Kenneth Y. Yun, Steven M. Nowick, Pei-Chuan Yeh
    Estimation and bounding of energy consumption in burst-mode control circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:26-33 [Conf]
  6. Tan-Li Chou, Kaushik Roy
    Statistical estimation of sequential circuit activity. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:34-37 [Conf]
  7. Mike Chou, Jacob K. White
    Efficient reduced-order modeling for the transient simulation of three-dimensional interconnect. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:40-44 [Conf]
  8. Byron Krauter, Lawrence T. Pileggi
    Generating sparse partial inductance matrices with guaranteed stability. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:45-52 [Conf]
  9. Ramachandra Achar, Michel S. Nakhla, Qi-Jun Zhang
    Addressing high frequency effects in VLSI interconnects with full wave model and CFH. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:53-56 [Conf]
  10. Shantanu Ganguly, Shervin Hojat
    Clock distribution design and verification for PowerPC microprocessors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:58-61 [Conf]
  11. Gustavo E. Téllez, Amir H. Farrahi, Majid Sarrafzadeh
    Activity-driven clock design for low power circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:62-65 [Conf]
  12. Jason Cong, Andrew B. Kahng, Cheng-Kok Koh, Chung-Wen Albert Tsao
    Bounded-skew clock and Steiner routing under Elmore delay. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:66-71 [Conf]
  13. Shipra Panda, Fabio Somenzi
    Who are the variables in your neighborhood. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:74-77 [Conf]
  14. Kiyoharu Hamaguchi, Akihito Morita, Shuzo Yajima
    Efficient construction of binary moment diagrams for verifying arithmetic circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:78-82 [Conf]
  15. Daniel Brand, Reinaldo A. Bergamaschi, Leon Stok
    Be careful with don't cares. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:83-86 [Conf]
  16. Sybille Hellebrand, Birgit Reeb, Steffen Tarnick, Hans-Joachim Wunderlich
    Pattern generation for a deterministic BIST scheme. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:88-94 [Conf]
  17. Albrecht P. Stroele, Hans-Joachim Wunderlich
    Test register insertion with minimum hardware cost. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:95-101 [Conf]
  18. Chen-Yang Pan, Kwang-Ting Cheng
    Pseudo-random testing and signature analysis for mixed-signal circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:102-107 [Conf]
  19. Anirudh Devgan
    Efficient and accurate transient simulation in charge-voltage plane. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:110-114 [Conf]
  20. D. Zhou, N. Chen, W. Cai
    A fast wavelet collocation method for high-speed VLSI circuit simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:115-122 [Conf]
  21. Lars Hedrich, Erich Barke
    A formal approach to nonlinear analog circuit verification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:123-127 [Conf]
  22. Rohini Gupta, Lawrence T. Pileggi
    Constrained multivariable optimization of transmission lines with general topologies. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:130-137 [Conf]
  23. John Lillis, Chung-Kuan Cheng, Ting-Ting Y. Lin
    Optimal wire sizing and buffer insertion for low power and a generalized delay model. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:138-143 [Conf]
  24. Noel Menezes, Ross Baldick, Lawrence T. Pileggi
    A sequential quadratic programming approach to concurrent gate and wire sizing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:144-151 [Conf]
  25. Kavita Ravi, Fabio Somenzi
    High-density reachability analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:154-158 [Conf]
  26. Edmund M. Clarke, Masahiro Fujita, Xudong Zhao
    Hybrid decision diagrams. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:159-163 [Conf]
  27. Jordi Cortadella, Michael Kishinevsky, Luciano Lavagno, Alexandre Yakovlev
    Synthesizing Petri nets from state-based models. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:164-171 [Conf]
  28. Hussain Al-Asaad, John P. Hayes
    Design verification via simulation and automatic test pattern generation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:174-180 [Conf]
  29. Yiming Gong, Sreejit Chakravarty
    On adaptive diagnostic test generation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:181-184 [Conf]
  30. Brian Chess, David B. Lavo, F. Joel Ferguson, Tracy Larrabee
    Diagnosis of realistic bridging faults with single stuck-at information. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:185-192 [Conf]
  31. Nishath K. Verghese, David J. Allstot
    SUBTRACT: a program for the efficient evaluation of substrate parasitics in integrated circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:194-198 [Conf]
  32. T. Smedes, N. P. van der Meijs, A. J. van Genderen
    Extraction of circuit models for substrate cross-talk. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:199-206 [Conf]
  33. Kevin J. Kerns, Ivan L. Wemple, Andrew T. Yang
    Stable and efficient reduction of substrate model networks using congruence transforms. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:207-214 [Conf]
  34. Hannah Honghua Yang, D. F. Wong
    New algorithms for min-cut replication in partitioned circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:216-222 [Conf]
  35. Jianmin Li, John Lillis, Chung-Kuan Cheng
    Linear decomposition algorithm for VLSI design applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:223-228 [Conf]
  36. Lung-Tien Liu, Ming-Ter Kuo, Shih-Chen Huang, Chung-Kuan Cheng
    A gradient method on the initial partition of Fiduccia-Mattheyses algorithm. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:229-234 [Conf]
  37. Randal E. Bryant
    Binary decision diagrams and beyond: enabling technologies for formal verification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:236-243 [Conf]
  38. Lawrence T. Pileggi
    Coping with RC(L) interconnect design headaches. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:246-253 [Conf]
  39. Michel R. C. M. Berkelaar, Lukas P. P. P. van Ginneken
    Efficient orthonormality testing for synthesis with pass-transistor selectors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:256-263 [Conf]
  40. Eric Lehman, Yosinori Watanabe, Joel Grodstein, Heather Harkness
    Logic decomposition during technology mapping. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:264-271 [Conf]
  41. Reinaldo A. Bergamaschi, Daniel Brand, Leon Stok, Michel R. C. M. Berkelaar, S. Prakash
    Efficient use of large don't cares in high-level and logic synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:272-278 [Conf]
  42. Pai H. Chou, Ross B. Ortega, Gaetano Borriello
    Interface co-synthesis techniques for embedded systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:280-287 [Conf]
  43. Ti-Yen Yen, Wayne Wolf
    Communication synthesis for distributed embedded systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:288-294 [Conf]
  44. Miodrag Potkonjak, Sujit Dey, Kazutoshi Wakabayashi
    Design-for-debugging of application specific designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:295-301 [Conf]
  45. Manfred Henftling, Hannes C. Wittmann, Kurt Antreich
    A single-path-oriented fault-effect propagation in digital circuits considering multiple-path sensitization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:304-309 [Conf]
  46. Anand Raghunathan, Srimat T. Chakradhar
    Acceleration techniques for dynamic vector compaction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:310-317 [Conf]
  47. Mitrajit Chatterjee, Dhiraj K. Pradhan, Wolfgang Kunz
    LOT: logic optimization with testability-new transformations using recursive learning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:318-325 [Conf]
  48. Tanay Karnik, Sung-Mo Kang
    An empirical model for accurate estimation of routing delay in FPGAs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:328-331 [Conf]
  49. Sudip K. Nag, Rob A. Rutenbar
    Performance-driven simultaneous place and route for island-style FPGAs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:332-338 [Conf]
  50. Wai-Kei Mak, D. F. Wong
    Board-level multi-terminal net routing for FPGA-based logic emulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:339-344 [Conf]
  51. Amit Chowdhary, John P. Hayes
    Technology mapping for field-programmable gate arrays using integer programming. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:346-352 [Conf]
  52. Hiroshi Sawada, Takayuki Suyama, Akira Nagoya
    Logic synthesis for look-up table based FPGAs using functional decomposition and support minimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:353-358 [Conf]
  53. Juinn-Dar Huang, Jing-Yang Jou, Wen-Zen Shen
    Compatible class encoding in Roth-Karp decomposition for two-output LUT architecture. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:359-363 [Conf]
  54. Chin-Chi Teng, Anthony M. Hill, Sung-Mo Kang
    Estimation of maximum transition counts at internal nodes in CMOS VLSI circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:366-370 [Conf]
  55. Hakan Yalcin, John P. Hayes
    Hierarchical timing analysis using conditional delays. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:371-377 [Conf]
  56. Yau-Tsun Steven Li, Sharad Malik, Andrew Wolfe
    Performance estimation of embedded software with instruction cache modeling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:380-387 [Conf]
  57. Ashok Sudarsanam, Sharad Malik
    Memory bank and register allocation in software synthesis for ASIPs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:388-392 [Conf]
  58. Stan Y. Liao, Srinivas Devadas, Kurt Keutzer, Steven W. K. Tjiang
    Instruction selection using binate covering for code size optimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:393-399 [Conf]
  59. Patrick C. McGeer, Kenneth L. McMillan, Alexander Saldanha, Alberto L. Sangiovanni-Vincentelli, Patrick Scaglia
    Fast discrete function evaluation using decision diagrams. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:402-407 [Conf]
  60. Pranav Ashar, Sharad Malik
    Fast functional simulation using branching programs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:408-412 [Conf]
  61. Scott Woods, Giorgio Casinovi
    Gate-level simulation of digital circuits using multi-valued Boolean algebras. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:413-419 [Conf]
  62. Guangqiu Chen, Hidetoshi Onodera, Keikichi Tamaru
    An iterative gate sizing approach with accurate delay evaluation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:422-427 [Conf]
  63. R. Iris Bahar, Fabio Somenzi
    Boolean techniques for low power driven re-synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:428-432 [Conf]
  64. Sasan Iman, Massoud Pedram
    Two-level logic minimization for low power. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:433-438 [Conf]
  65. Wing Hang Wong, Rajiv Jain
    PARAS: system-level concurrent partitioning and scheduling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:440-445 [Conf]
  66. Miodrag Potkonjak, Wayne Wolf
    Cost optimization in ASIC implementation of periodic hard-real time systems using behavioral synthesis techniques. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:446-451 [Conf]
  67. Amir H. Farrahi, Majid Sarrafzadeh
    System partitioning to maximize sleep time. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:452-455 [Conf]
  68. Joel Grodstein, Eric Lehman, Heather Harkness, Bill Grundmann, Yosinatori Watanabe
    A delay model for logic synthesis of continuously-sized networks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:458-462 [Conf]
  69. Sachin S. Sapatnekar, Weitong Chuang
    Power vs. delay in gate sizing: conflicting objectives? [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:463-466 [Conf]
  70. Harsha Sathyamurthy, Sachin S. Sapatnekar, John P. Fishburn
    Speeding up pipelined circuits through a combination of gate sizing and clock skew optimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:467-470 [Conf]
  71. Hiroshi Murata, Kunihiro Fujiyoshi, Shigetoshi Nakatake, Yoji Kajitani
    Rectangle-packing-based module placement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:472-479 [Conf]
  72. Weiping Shi
    An optimal algorithm for area minimization of slicing floorplans. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:480-484 [Conf]
  73. Anmol Mathur, K. C. Chen, C. L. Liu
    Re-engineering of timing constrained placements for regular architectures. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:485-490 [Conf]
  74. Farid N. Najm
    Power estimation techniques for integrated circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:492-499 [Conf]
  75. Paul Lippens, Vijay Nagasamy, Wayne Wolf
    CAD challenges in multimedia computing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:502-508 [Conf]
  76. Herman Schmit, Donald E. Thomas
    Address generation for memories containing multiple arrays. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:510-514 [Conf]
  77. Gjalt G. de Jong, Bill Lin, Carl Verdonck, Sven Wuytack, Francky Catthoor
    Background memory management for dynamic data structure intensive processing systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:515-520 [Conf]
  78. Wei Zhao, Christos A. Papachristou
    Architectural partitioning of control memory for application specific programmable processors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:521-526 [Conf]
  79. Chih-Chang Lin, Mike Tien-Chien Lee, Malgorzata Marek-Sadowska, Kuang-Chien Chen
    Cost-free scan: a low-overhead scan path design methodology. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:528-533 [Conf]
  80. Sujit Dey, Vijay Gangaram, Miodrag Potkonjak
    A controller-based design-for-testability technique for controller-data path circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:534-540 [Conf]
  81. Nilanjan Mukherjee, Janusz Rajski, Jerzy Tyszer
    On testable multipliers for fixed-width data path architectures. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:541-547 [Conf]
  82. Jan Crols, Stéphane Donnay, Michiel Steyaert, Georges G. E. Gielen
    A high-level design and optimization tool for analog RF receiver front-ends. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:550-553 [Conf]
  83. S. R. Kadivar, Doris Schmitt-Landsiedel, H. Klar
    A new algorithm for the design of stable higher order single loop sigma delta analog-to-digital converters. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:554-561 [Conf]
  84. Eduardo J. Peralías, Adoración Rueda, José Luis Huertas
    Statistical behavioral modeling and characterization of A/D converters. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:562-566 [Conf]
  85. Jason Cong, Lei He
    Optimal wiresizing for interconnects with multiple sources. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:568-574 [Conf]
  86. Tianxiong Xue, Ernest S. Kuh
    Post routing performance optimization via multi-link insertion and non-uniform wiresizing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:575-580 [Conf]
  87. Man-Fai Yu, Wayne Wei-Ming Dai
    Single-layer fanout routing and routability analysis for Ball Grid Arrays. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:581-586 [Conf]
  88. Nelson L. Passos, Edwin Hsing-Mean Sha
    Push-up scheduling: Optimal polynomial-time resource constrained scheduling for multi-dimensional applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:588-591 [Conf]
  89. Fermín Sánchez
    Time-Constrained Loop Pipelining. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:592- [Conf]
  90. Anand Raghunathan, Niraj K. Jha
    An iterative improvement algorithm for low power data path synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:597-602 [Conf]
  91. Robert M. Fuhrer, Bill Lin, Steven M. Nowick
    Symbolic hazard-free minimization and encoding of asynchronous finite state machines. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:604-611 [Conf]
  92. Adnan Aziz, Felice Balarin, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    Sequential synthesis using S1S. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:612-617 [Conf]
  93. Walter M. Lindermeir, Helmut E. Graeb, Kurt Antreich
    Design based analog testing by Characteristic Observation Inference. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:620-626 [Conf]
  94. Giri Devarayanadurg, Mani Soma
    Dynamic test signal design for analog ICs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:627-630 [Conf]
  95. Chauchin Su, Shenshung Chiang, Shyh-Jye Jou
    Impulse response fault model and fault extraction for functional level analog circuit diagnosis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:631-636 [Conf]
  96. Hirendu Vaishnav, Massoud Pedram
    Delay optimal partitioning targeting low power VLSI circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:638-643 [Conf]
  97. Roman Kuznar, Franc Brglez
    PROP: a recursive paradigm for area-efficient and performance oriented partitioning of large FPGA netlists. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:644-649 [Conf]
  98. David Ihsin Cheng, Chih-Chang Lin, Malgorzata Marek-Sadowska
    Circuit partitioning with logic perturbation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:650-655 [Conf]
  99. Balakrishnan Iyer, Ramesh Karri, Israel Koren
    Phantom redundancy: a high-level synthesis approach for manufacturability. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:658-661 [Conf]
  100. Elof Frank, Thomas Lengauer
    APPlaUSE: Area and performance optimization in a unified placement and synthesis environment. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:662-667 [Conf]
  101. Mahesh Mehendale, Sunil D. Sherlekar, G. Venkatesh
    Synthesis of multiplier-less FIR filters with minimum number of additions. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:668-671 [Conf]
  102. Peter Dahlgren
    A multiple-dominance switch-level model for simulation of short faults. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:674-680 [Conf]
  103. Kwang-Ting Cheng, Shi-Yu Huang, Wei-Jin Dai
    Fault emulation: a new approach to fault grading. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:681-686 [Conf]
  104. Irith Pomeranz, Sudhakar M. Reddy
    Functional test generation for delay faults in combinational circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:687-694 [Conf]
  105. Kannan Krishna, Stephen W. Director
    A novel methodology for statistical parameter extraction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:696-699 [Conf]
  106. Boris Troyanovsky, Zhiping Yu, Lydia So, Robert W. Dutton
    Relaxation-based harmonic balance technique for semiconductor device simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:700-703 [Conf]
  107. Haifang Liao, Wayne Wei-Ming Dai
    Partitioning and reduction of RC interconnect networks based on scattering parameter macromodels. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:704-709 [Conf]
  108. Parthasarathi Dasgupta, Susmita Sur-Kolay, Bhargab B. Bhattacharya
    A unified approach to topology generation and area optimization of general floorplans. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:712-715 [Conf]
  109. Jaewon Kim, Sung-Mo Kang
    A timing-driven data path layout synthesis with integer programming. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:716-719 [Conf]
  110. Kai-Yuan Chao, D. F. Wong
    Signal integrity optimization on the pad assignment for high-speed VLSI design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:720-725 [Conf]
  111. Huey-Yih Wang, Robert K. Brayton
    Multi-level logic optimization of FSM networks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:728-735 [Conf]
  112. Krishna P. Belkhale, Alexander J. Suess
    Timing analysis with known false sub graphs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1995, pp:736-740 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002