The SCEAS System
Navigation Menu

Conferences in DBLP

International Conference on Computer Aided Design (ICCAD) (iccad)
2005 (conf/iccad/2005)

  1. Wenrui Gong, Gang Wang, Ryan Kastner
    Storage assignment during high-level synthesis for configurable architectures. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:3-6 [Conf]
  2. Rafael Ruiz-Sautua, María C. Molina, Jose Manuel Mendias, Román Hermida
    Performance-driven read-after-write dependencies softening in high-level synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:7-12 [Conf]
  3. Paulo F. Flores, José C. Monteiro, Eduardo A. C. da Costa
    An exact algorithm for the maximal sharing of partial terms in multiple constant multiplications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:13-16 [Conf]
  4. Ho-Yan Wong, Lerong Cheng, Yan Lin, Lei He
    FPGA device and architecture evaluation considering process variations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:19-24 [Conf]
  5. Yajun Ran, Malgorzata Marek-Sadowska
    Via-configurable routing architectures and fast design mappability estimation for regular fabrics. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:25-32 [Conf]
  6. Kwok-Shing Leung
    SPIDER: simultaneous post-layout IR-drop and metal density enhancement with redundant fill. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:33-38 [Conf]
  7. Tao Luo, Haoxing Ren, Charles J. Alpert, David Zhigang Pan
    Computational geometry based placement migration. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:41-47 [Conf]
  8. Min Pan, Natarajan Viswanathan, Chris C. N. Chu
    An efficient and effective detailed placement algorithm. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:48-55 [Conf]
  9. Kai-Hui Chang, Igor L. Markov, Valeria Bertacco
    Post-placement rewiring and rebuffering by exhaustive search for functional symmetries. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:56-63 [Conf]
  10. Xin Hao, Forrest Brewer
    Wirelength optimization by optimal block orientation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:64-70 [Conf]
  11. Erkan Acar, Sule Ozev
    Parametric test development for RF circuits targeting physical fault locations and using specification-based fault definitions. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:73-79 [Conf]
  12. Mango Chia-Tso Chao, Seongmoon Wang, Srimat T. Chakradhar, Kwang-Ting Cheng
    Response shaper: a novel technique to enhance unknown tolerance for output response compaction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:80-87 [Conf]
  13. Anuja Sehgal, Krishnendu Chakrabarty
    Test planning for the effective utilization of port-scalable testers for heterogeneous core-based SOCs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:88-93 [Conf]
  14. Krishnendu Chakrabarty, J. E. Chen
    A cocktail approach on random access scan toward low power and high efficiency test. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:94-99 [Conf]
  15. David Bordoley, Hieu Nguyen, Mani Soma
    A statistical study of the effectiveness of BIST jitter measurement techniques. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:100-107 [Conf]
  16. Osamu Takahashi, Russ Cook, Scott R. Cottier, Sang H. Dhong, Brian K. Flachs, Koji Hirairi, Atsushi Kawasumi, Hiroaki Murakami, Hiromi Noro, Hwa-Joon Oh, S. Onish, Juergen Pille, Joel Silberman
    The circuit design of the synergistic processor element of a CELL processor. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:111-117 [Conf]
  17. Richard McGowen
    Adaptive designs for power and thermal optimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:118-121 [Conf]
  18. Robert B. Staszewski, Khurram Muhammad, Dirk Leipold
    Digital RF processor (DRP/spl trade/) for cellular phones. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:122-129 [Conf]
  19. Jianfeng Luo, Qing Su, Charles Chiang, Jamil Kawa
    A layout dependent full-chip copper electroplating topography model. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:133-140 [Conf]
  20. James D. Ma, Claire Fang Fang, Rob A. Rutenbar, Xiaolin Xie, Duane S. Boning
    Interval-valued statistical modeling of oxide chemical-mechanical polishing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:141-148 [Conf]
  21. Charles Chiang, Andrew B. Kahng, Subarna Sinha, Xu Xu
    Fast and efficient phase conflict detection and correction in standard-cell layouts. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:149-156 [Conf]
  22. Tung-Chieh Chen, Yao-Wen Chang, Shyh-Chang Lin
    IMF: interconnect-driven multilevel floorplanning for large-scale building-module designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:159-164 [Conf]
  23. Jason Cong, Michail Romesis, Joseph R. Shinnerl
    Robust mixed-size placement under tight white-space constraints. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:165-172 [Conf]
  24. Andrew B. Kahng, Sherief Reda
    Intrinsic shortest path length: a new, accurate a priori wirelength estimator. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:173-180 [Conf]
  25. Yinghua Li, Alex Kondratyev, Robert K. Brayton
    Synthesis methodology for built-in at-speed testing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:183-188 [Conf]
  26. Chuan Lin, Jia Wang, Hai Zhou
    Clustering for processing rate optimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:189-195 [Conf]
  27. Sanghamitra Roy, Weijen Chen
    ConvexFit: an optimal minimum-error convex fitting and smoothing algorithm with application to gate-sizing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:196-203 [Conf]
  28. Tsu-Jae King
    FinFETs for nanoscale CMOS digital integrated circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:207-210 [Conf]
  29. Vishal P. Trivedi, Jerry G. Fossum, Leo Mathew, Murshed M. Chowdhury, Weimin Zhang, Glenn O. Workman, Bich-Yen Nguyen
    Physics-based compact modeling for nonclassical CMOS. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:211-216 [Conf]
  30. Kaushik Roy, Hamid Mahmoodi-Meimand, Saibal Mukhopadhyay, Hari Ananthan, Aditya Bansal, Tamer Cakici
    Double-gate SOI devices for low-power and high-performance applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:217-224 [Conf]
  31. Jeremy A. Rowlette, Eric Pop, Sanjiv Sinha, Mathew Panzer, Kenneth E. Goodson
    Thermal simulation techniques for nanoscale transistors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:225-228 [Conf]
  32. Krishnan Srinivasan, Karam S. Chatha, Goran Konjevod
    An automated technique for topology and route generation of application specific on-chip interconnection networks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:231-237 [Conf]
  33. Martin K. F. Schafer, Thomas Hollstein, Heiko Zimmer, Manfred Glesner
    Deadlock-free routing and component placement for irregular mesh-based networks-on-chip. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:238-245 [Conf]
  34. Ümit Y. Ogras, Radu Marculescu
    Application-specific network-on-chip architecture customization via long-range link insertion. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:246-253 [Conf]
  35. Jeremy Chan, Sri Parameswaran
    NoCEE: energy macro-model extraction methodology for network on chip routers. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:254-259 [Conf]
  36. Jason Cong, Guoling Han, Zhiru Zhang
    Architecture and compilation for data bandwidth improvement in configurable embedded processors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:263-270 [Conf]
  37. Guilin Chen, Mahmut T. Kandemir
    Code restructuring for improving cache performance of MPSoCs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:271-274 [Conf]
  38. Mahmut T. Kandemir
    2D data locality: definition, abstraction, and application. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:275-278 [Conf]
  39. Guilin Chen, Ozcan Ozturk, Mahmut T. Kandemir, Ibrahim Kolcu
    Integrating loop and data optimizations for locality within a constraint network based framework. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:279-282 [Conf]
  40. Tarvo Raudvere, Ashish Kumar Singh, Ingo Sander, Axel Jantsch
    System level verification of digital signal processing applications based on the polynomial abstraction technique. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:285-290 [Conf]
  41. Namrata Shekhar, Priyank Kalla, Florian Enescu, Sivaram Gopalakrishnan
    Equivalence verification of polynomial datapaths with fixed-size bit-vectors using finite ring algebra. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:291-296 [Conf]
  42. Ganapathy Parthasarathy, Madhu K. Iyer, Kwang-Ting Cheng, Forrest Brewer
    RTL SAT simplification by Boolean and interval arithmetic reasoning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:297-302 [Conf]
  43. Guilin Chen, Mahmut T. Kandemir
    Runtime integrity checking for inter-object connections. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:303-306 [Conf]
  44. Huaizhi Wu, I-Min Liu, Martin D. F. Wong, Yusu Wang
    Post-placement voltage island generation under performance requirement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:309-316 [Conf]
  45. Liang Deng, Martin D. F. Wong
    Buffer insertion under process variations for delay minimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:317-321 [Conf]
  46. Ruiming Chen, Hai Zhou
    Efficient algorithms for buffer insertion in general circuits based on network flow. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:322-326 [Conf]
  47. Chuan Lin, Hai Zhou
    Trade-off between latch and flop for min-period sequential circuit designs with crosstalk. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:329-334 [Conf]
  48. Hyeonmin Lim, Kyungsoo Lee, Youngjin Cho, Naehyuck Chang
    Flip-flop insertion with shifted-phase clocks for FPGA power reduction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:335-342 [Conf]
  49. Amit Gupta, Charles Selvidge
    Acyclic modeling of combinational loops. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:343-347 [Conf]
  50. Yu Zhong, Martin D. F. Wong
    Fast algorithms for IR drop analysis in large power grid. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:351-357 [Conf]
  51. Dionysios Kouroussis, Imad A. Ferzli, Farid N. Najm
    Incremental partitioning-based vectorless power grid verification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:358-364 [Conf]
  52. Sanjay Pant, David Blaauw
    Static timing analysis considering power supply variations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:365-371 [Conf]
  53. André DeHon, Konstantin Likharev
    Hybrid CMOS/nanoelectronic digital circuits: devices, architectures, and design automation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:375-382 [Conf]
  54. Navin Srivastava, Kaustav Banerjee
    Performance analysis of carbon nanotube interconnects for VLSI applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:383-390 [Conf]
  55. Di Wu, Ganesh Venkataraman, Jiang Hu, Quiyang Li, Rabi N. Mahapatra
    DiCER: distributed and cost-effective redundancy for variation tolerance. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:393-397 [Conf]
  56. Yasumasa Tsukamoto, Koji Nii, Susumu Imaoka, Yuji Oda, Shigeki Ohbayashi, Tomoaki Yoshizawa, Hiroshi Makino, Koichiro Ishibashi, Hirofumi Shinohara
    Worst-case analysis to obtain stable read/write DC margin of high density 6T-SRAM-array with local Vth variability. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:398-405 [Conf]
  57. Suwen Yang, Mark R. Greenstreet
    Noise margin analysis for dynamic logic circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:406-412 [Conf]
  58. Fernando De Bernardinis, Alberto L. Sangiovanni-Vincentelli
    Efficient analog platform characterization through analog constraint graphs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:415-421 [Conf]
  59. Xin Li, Jian Wang, Lawrence T. Pileggi, Tun-Shih Chen, Wanju Chiang
    Performance-centering optimization for system-level analog design exploration. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:422-429 [Conf]
  60. Anuradha Agarwal, Ranga Vemuri
    Hierarchical performance macromodels of feasible regions for synthesis of analog and RF circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:430-436 [Conf]
  61. Ravishankar Rao, Sarma B. K. Vrudhula
    Battery optimization vs energy optimization: which to choose and when? [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:439-445 [Conf]
  62. Bren Mochocki, Razvan Racu, Rolf Ernst
    Dynamic voltage scaling for the schedulability of jitter-constrained real-time embedded systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:446-449 [Conf]
  63. Jaewon Seo, Taewhan Kim, Nikil D. Dutt
    Optimal integration of inter-task and intra-task dynamic voltage scaling techniques for hard real-time applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:450-455 [Conf]
  64. Feihui Li, Guilin Chen, Mahmut T. Kandemir
    Compiler-directed voltage scaling on communication links for reducing power consumption. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:456-460 [Conf]
  65. Tamal Mukherjee
    Design automation issues for biofluidic microchips. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:463-470 [Conf]
  66. Paul W. K. Rothemund
    Design of DNA origami. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:471-478 [Conf]
  67. Elena Dubrova, Maxim Teslenko, Andrés Martinelli
    Kauffman networks: analysis and applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:479-484 [Conf]
  68. Bradley Bond, Luca Daniel
    Parameterized model order reduction of nonlinear dynamical systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:487-494 [Conf]
  69. Bo Hu, C.-J. Richard Shi
    Fast-yet-accurate PVT simulation by combined direct and iterative methods. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:495-501 [Conf]
  70. Arthur Nieuwoudt, Yehia Massoud
    Robust automated synthesis methodology for integrated spiral inductors with variability. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:502-507 [Conf]
  71. Ashish Kumar Singh, Murari Mani, Michael Orshansky
    Statistical technology mapping for parametric yield. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:511-518 [Conf]
  72. Satrajit Chatterjee, Alan Mishchenko, Robert K. Brayton, Xinning Wang, Timothy Kam
    Reducing structural bias in technology mapping. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:519-526 [Conf]
  73. Shuo Zhou, Bo Yao, Hongyu Chen, Yi Zhu, Chung-Kuan Cheng, Michael Hutton, Truman Collins, Sridhar Srinivasan, Nan-Chi Chou, Peter Suaris
    Improving the efficiency of static timing analysis with false paths. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:527-531 [Conf]
  74. Peter Suaris, Taeho Kgil, Keith A. Bowman, Vivek De, Trevor N. Mudge
    Total power-optimal pipelining and parallel processing under process variations in nanometer technology. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:535-540 [Conf]
  75. Maged Ghoneima, Yehea I. Ismail, Muhammad M. Khellah, James Tschanz, Vivek De
    Serial-link bus: a low-power on-chip bus architecture. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:541-546 [Conf]
  76. Greg Stiff, Frank Vahid
    New decompilation techniques for binary-level co-processor generation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:547-554 [Conf]
  77. Tamás Roska
    Cellular wave computers and CNN technology - a SoC architecture with xK processors and sensor arrays. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:557-564 [Conf]
  78. Amitabh Chaudhary, Danny Z. Chen, Kevin Whitton, Michael T. Niemier, Ramprasad Ravichandran
    Eliminating wire crossings for molecular quantum-dot cellular automata implementation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:565-571 [Conf]
  79. Jeng-Liang Tsai, Lizheng Zhang
    Statistical timing analysis driven post-silicon-tunable clock-tree synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:575-581 [Conf]
  80. Minsik Cho, Suhail Ahmed, David Z. Pan
    TACO: temperature aware clock-tree optimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:582-587 [Conf]
  81. Wai-Ching Douglas Lam, J. Jam, Cheng-Kok Koh, Venkataramanan Balakrishnan, Yiran Chen
    Statistical based link insertion for robust clock network design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:588-591 [Conf]
  82. Ganesh Venkataraman, Nikhil Jayakumar, Jiang Hu, Peng Li, Sunil P. Khatri, Anand Rajaram, Patrick McGuinness, Charles J. Alpert
    Practical techniques to reduce skew and its variations in buffered clock networks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:592-596 [Conf]
  83. Ting Mei, Jaijeet S. Roychowdhury
    An efficient and robust technique for tracking amplitude and frequency envelopes in oscillators. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:599-603 [Conf]
  84. Ting Mei, Jaijeet S. Roychowdhury
    Oscillator-AC: restoring rigour to linearized small-signal analysis of oscillators. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:604-609 [Conf]
  85. Kapil D. Boianapally, Ting Mei, Jaijeet S. Roychowdhury
    A multi-harmonic probe technique for computing oscillator steady states. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:610-613 [Conf]
  86. Amit Mehrotra, Suihua Lu, David C. Lee, Amit Narayan
    Steady-state analysis of voltage and current controlled oscillators. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:618-623 [Conf]
  87. Chao-Yang Yeh, Malgorzata Marek-Sadowska
    Timing-aware power noise reduction in layout. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:627-634 [Conf]
  88. Yong Zhan, Sachin S. Sapatnekar
    A high efficiency full-chip thermal simulation algorithm. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:635-638 [Conf]
  89. Pu Liu, Zhenyu Qi, Hang Li, Lingling Jin, Wei Wu, Sheldon X.-D. Tan, Jun Yang
    Fast thermal simulation for architecture level dynamic thermal management. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:639-644 [Conf]
  90. Peng Li
    Variational analysis of large power grids by exploring statistical sampling sharing and spatial locality. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:645-651 [Conf]
  91. Seth Copen Goldstein
    The impact of the nanoscale on computing systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:655-661 [Conf]
  92. Chris Dwyer
    Computer-aided design for DNA self-assembly: process and applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:662-667 [Conf]
  93. Mehdi Baradaran Tahoori
    A mapping algorithm for defect-tolerance of reconfigurable nano-architectures. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:668-672 [Conf]
  94. Zhenhai Zhu, Jacob K. White
    FastSies: a fast stochastic integral equation solver for modeling the rough surface effect. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:675-682 [Conf]
  95. Rong Jiang, Wenyin Fu, Janet Meiling Wang, Vince Lin, Charlie Chung-Ping Chen
    Efficient statistical capacitance variability modeling with orthogonal principle factor analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:683-690 [Conf]
  96. Mosin Mondal, Yehia Massoud
    Reducing pessimism in RLC delay estimation using an accurate analytical frequency dependent model for inductance. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:691-696 [Conf]
  97. Yaping Zhan, Andrzej J. Strojwas, Mahesh Sharma, David Newmark
    Statistical critical path analysis considering correlations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:699-704 [Conf]
  98. Saumil Shah, Ashish Srivastava, Dushyant Sharma, Dennis Sylvester, David Blaauw, Vladimir Zolotov
    Discrete Vt assignment and gate sizing using a self-snapping continuous formulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:705-712 [Conf]
  99. Sarvesh Bhardwaj, Sarma B. K. Vrudhula
    Formalizing designer's preferences for multiattribute optimization with application to leakage-delay tradeoffs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:713-718 [Conf]
  100. Xin Li, Jiayong Le, Lawrence T. Pileggi, Andrzej J. Strojwas
    Projection-based performance modeling for inter/intra-die variations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:721-727 [Conf]
  101. Janet Meiling Wang, Bharat Srinivas, Dongsheng Ma, Charlie Chung-Ping Chen, Jun Li
    System-level power and thermal modeling and analysis by orthogonal polynomial based response surface approach (OPRS). [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:728-735 [Conf]
  102. Amit Agarwal, Kunhyuk Kang, Kaushik Roy
    Accurate estimation and modeling of total chip leakage considering inter- & intra-die process variations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:736-741 [Conf]
  103. Jason Cong, Yan Zhang
    Thermal via planning for 3-D ICs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:745-752 [Conf]
  104. Jia-Wei Fang, I-Jye Lin, Ping-Hung Yuh, Yao-Wen Chang, Jyh-Herng Wang
    A routing algorithm for flip-chip design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:753-758 [Conf]
  105. Muhammet Mustafa Ozdal, Martin D. F. Wong, Philip S. Honsinger
    An escape routing framework for dense boards with high-speed design constraints. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:759-766 [Conf]
  106. Muhammet Mustafa Ozdal, Martin D. F. Wong, Philip S. Honsinger
    Optimal routing algorithms for pin clusters in high-density multichip modules. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:767-774 [Conf]
  107. Aravind Vijayakumar, Forrest Brewer
    Weighted control scheduling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:777-783 [Conf]
  108. Daniel L. Rosenband
    Hardware synthesis from guarded atomic actions with performance specifications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:784-791 [Conf]
  109. Love Singhal, Elaheh Bozorgzadeh
    Fast timing closure by interconnect criticality driven delay relaxation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:792-797 [Conf]
  110. Ngai Wong, Venkataramanan Balakrishnan
    Fast balanced stochastic truncation via a quadratic extension of the alternating direction implicit iteration. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:801-805 [Conf]
  111. Xin Li, Peng Li, Lawrence T. Pileggi
    Parameterized interconnect order reduction with explicit-and-implicit multi-parameter moment matching for inter/intra-die variations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:806-812 [Conf]
  112. Dmitry Vasilyev, Jacob K. White
    A more reliable reduction algorithm for behavioral model extraction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:813-820 [Conf]
  113. Pu Liu, Sheldon X.-D. Tan, Hang Li, Zhenyu Qi, Jun Kong, Bruce McGaughy, Lei He
    An efficient method for terminal reduction of interconnect circuits considering delay variations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:821-826 [Conf]
  114. Khaled R. Heloue, Farid N. Najm
    Statistical timing analysis with two-sided constraints. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:829-836 [Conf]
  115. Debjit Sinha, Hai Zhou
    A unified framework for statistical timing analysis with coupling and multiple input switching. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:837-843 [Conf]
  116. Xin Li, Jiayong Le, Mustafa Celik, Lawrence T. Pileggi
    Defining statistical sensitivity for timing optimization of logic circuits with large-scale process and environmental variations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:844-851 [Conf]
  117. Panagiotis Manolios, Sudarshan K. Srinivasan
    Verification of executable pipelined machines with bit-level interfaces. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:855-862 [Conf]
  118. Panagiotis Manolios, Sudarshan K. Srinivasan
    A complete compositional reasoning framework for the efficient verification of pipelined machines. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:863-870 [Conf]
  119. Moayad Fahim Ali, Sean Safarpour, Andreas G. Veneris, Magdy S. Abadir, Rolf Drechsler
    Post-verification debugging of hierarchical designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:871-876 [Conf]
  120. Roy Armoni, Sergey Egorov, Ranan Fraer, Dmitry Korchemny, Moshe Y. Vardi
    Efficient LTL compilation for SAT-based model checking. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:877-884 [Conf]
  121. Suchismita Roy, Sayantan Das, Prasenjit Basu, Pallab Dasgupta, Partha Pratim Chakrabarti
    SAT based solutions for consistency problems in formal property specifications for open systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:885-888 [Conf]
  122. Andrew B. Kahng, Sherief Reda, Qinke Wang
    Architecture and details of a high quality, large-scale analytical placer. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:891-898 [Conf]
  123. Kristofer Vorwerk, Andrew A. Kennings
    Mixed-size placement via line search. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:899-904 [Conf]
  124. Haifeng Qian, Sachin S. Sapatnekar
    A hybrid linear equation solver and its application in quadratic placement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:905-909 [Conf]
  125. Pai H. Chou, Chulsung Park
    Energy-efficient platform designs for real-world wireless sensing applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:913-920 [Conf]
  126. Brian Schott, Michael Bajura
    Power-aware microsensor design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:921-924 [Conf]
  127. Prabal Dutta, David E. Culler
    System software techniques for low-power operation in wireless sensor networks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:925-932 [Conf]
  128. Ahmed M. Shebaita, Chirayu S. Amin, Florentin Dartu, Yehea I. Ismail
    Expanding the frequency range of AWE via time shifting. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:935-938 [Conf]
  129. Hongyu Chen, Chao-Yang Yeh, Gustavo R. Wilke, Subodh M. Reddy, Hoa-van Nguyen, William W. Walker, Rajeev Murgai
    A sliding window scheme for accurate clock mesh analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:939-946 [Conf]
  130. Amit Jain, David Blaauw, Vladimir Zolotov
    Accurate delay computation for noisy waveform shapes. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:947-953 [Conf]
  131. Murat R. Becer, Vladimir Zolotov, Rajendran Panda, Amir Grinshpon, Ilan Algor, Rafi Levy, Chanhee Oh
    Pessimism reduction in crosstalk noise aware STA. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:954-961 [Conf]
  132. Alfred Koelbl, Yuan Lu, Anmol Mathur
    Embedded tutorial: formal equivalence checking between system-level models and RTL. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:965-971 [Conf]
  133. M. Frank Chang
    CDMA/FDMA-interconnects for future ULSI communications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:975-978 [Conf]
  134. K. O. Kenneth, Kihong Kim, Brian A. Floyd, Jesal L. Mehta, Hyun Yoon, Chih-Ming Hung, Daniel F. Bravo, Timothy O. Dickson, Xiaoling Guo, Ran Li, Narasimhan Trichy, James Caserta, Wayne R. Bomstad II, Jason Branch, Dong-Jun Yang, Jose Bohorquez, Jie Chen, Eunyoung Seok, Li Gao, Aravind Sugavanam, Jau-Jr Lin, S. Yu, C. Cao, M.-H. Hwang, Y.-R. Ding, S.-H. Hwang, H. Wu, N. Zhang, Joe E. Brewer
    The feasibility of on-chip interconnection using antennas. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:979-984 [Conf]
  135. Michael P. Flynn, Joshua Jaeyoung Kang
    Global signaling over lossy transmission lines. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:985-992 [Conf]
  136. Tohru Ishihara, Farzan Fallah
    A cache-defect-aware code placement algorithm for improving the performance of processors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:995-1001 [Conf]
  137. Feihui Li, Guilin Chen, Mahmut T. Kandemir, Ibrahim Kolcu
    Improving scratch-pad memory reliability through compiler-guided data block duplication. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:1002-1005 [Conf]
  138. Ankur Agiwal, Montek Singh
    An architecture and a wrapper synthesis approach for multi-clock latency-insensitive systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:1006-1013 [Conf]
  139. Montek Singh
    Memory access optimization of dynamic binary translation for reconfigurable architectures. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:1014-1020 [Conf]
  140. Kaviraj Chopra, Saumil Shah, Ashish Srivastava, David Blaauw, Dennis Sylvester
    Parametric yield maximization using gate sizing based on efficient statistical power and delay gradient computation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:1023-1028 [Conf]
  141. Matthew R. Guthaus, Natesan Venkateswaran, Chandu Visweswariah, Vladimir Zolotov
    Gate sizing using incremental parameterized statistical timing analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:1029-1036 [Conf]
  142. Debjit Sinha, Narendra V. Shenoy, Hai Zhou
    Statistical gate sizing for timing yield optimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:1037-1041 [Conf]
  143. Kai-Hui Chang, Valeria Bertacco, Igor L. Markov
    Simulation-based bug trace minimization with BMC-based refinement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:1045-1051 [Conf]
  144. Ali Alphan Bayazit, Sharad Malik
    Complementary use of runtime validation and model checking. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:1052-1059 [Conf]
  145. Fadi A. Zaraket, Jason Baumgartner, Adnan Aziz
    Scalable compositional minimization via static analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:1060-1067 [Conf]
  146. Minh D. Nguyen, Dominik Stoffel, Markus Wedler, Wolfgang Kunz
    Transition-by-transition FSM traversal for reachability analysis in bounded model checking. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:1068-1075 [Conf]
  147. Per Bjesse, James H. Kukula
    Automatic generalized phase abstraction for formal verification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:1076-1082 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002