The SCEAS System
Navigation Menu

Conferences in DBLP

International Conference on Computer Aided Design (ICCAD) (iccad)
2000 (conf/iccad/2000)

  1. Jason Cong, Sung Kyu Lim
    Physical Planning with Retiming. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:2-7 [Conf]
  2. Xianlong Hong, Gang Huang, Yici Cai, Jiangchun Gu, Sheqin Dong, Chung-Kuan Cheng, Jun Gu
    Corner Block List: An Effective and Efficient Topological Representation of Non-Slicing Floorplan. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:8-12 [Conf]
  3. Florin Balasa
    Modeling Non-Slicing Floorplans with Binary Trees. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:13-16 [Conf]
  4. Andrew B. Kahng, Stefanus Mantik
    On Mismatches between Incremental Optimizers and Instance Perturbations in Physical Design Tools. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:17-21 [Conf]
  5. Peter M. Maurer
    Event Driven Simulation Without Loops or Conditionals. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:23-26 [Conf]
  6. José C. Costa, Srinivas Devadas, José Monteiro
    Observability Analysis of Embedded Software for Coverage-Directed Validation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:27-32 [Conf]
  7. Gernot Koch, Taewhan Kim, Reiner Genevriere
    A Methodology for Verifying Memory Access Protocols in Behavioral Synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:33-38 [Conf]
  8. Farinaz Koushanfar, Darko Kirovski, Miodrag Potkonjak
    Symbolic Debugging Scheme for Optimized Hardware and Software. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:40-43 [Conf]
  9. Per Gunnar Kjeldsberg, Francky Catthoor, Einar J. Aas
    Automated Data Dependency Size Estimation with a Partially Fixed Execution Ordering. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:44-50 [Conf]
  10. Hyeong-Ju Kang, Hansoo Kim, In-Cheol Park
    FIR Filter Synthesis Algorithms for Minimizing the Delay and the Number of Adders. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:51-54 [Conf]
  11. Yu Cao, Chenming Hu, Xuejue Huang, Andrew B. Kahng, Sudhakar Muddu, Dirk Stroobandt, Dennis Sylvester
    Effects of Global Interconnect Optimizations on Performance Estimation of Deep Submicron Design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:56-61 [Conf]
  12. Michael Orshansky, Linda Milor, Pinhong Chen, Kurt Keutzer, Chenming Hu
    Impact of Systematic Spatial Intra-Chip Gate Length Variability on Performance of High-Speed Digital Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:62-67 [Conf]
  13. Pinhong Chen, Desmond Kirkpatrick, Kurt Keutzer
    Miller Factor for Gate-Level Coupling Delay Calculation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:68-74 [Conf]
  14. Jan M. Rabaey, Miodrag Potkonjak, Farinaz Koushanfar, Suet-Fei Li, Tim Tuan
    Challenges and Opportunities in Broadband and Wireless Communication Designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:76-82 [Conf]
  15. Ralph H. J. M. Otten, Paul Stravers
    Challenges in Physical Chip Design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:84-91 [Conf]
  16. Hongbing Fan, Jiping Liu, Yu-Liang Wu
    General Models for Optimum Arbitrary-Dimension FPGA Switch Box Designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:93-98 [Conf]
  17. Jiang Hu, Sachin S. Sapatnekar
    A Timing-Constrained Algorithm for Simultaneous Global Routing of Multiple Nets. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:99-103 [Conf]
  18. Feodor F. Dragan, Andrew B. Kahng, Ion I. Mandoiu, Sudhakar Muddu, Alexander Zelikovsky
    Provably Good Global Buffering Using an Available Buffer Block Plan. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:104-109 [Conf]
  19. Ryan Kastner, Elaheh Bozorgzadeh, Majid Sarrafzadeh
    Predictable Routing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:110-113 [Conf]
  20. Shankar G. Govindaraju, David L. Dill
    Counterexample-Guided Choice of Projections in Approximate Symbolic Model Checking. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:115-119 [Conf]
  21. Pei-Hsin Ho, Thomas R. Shiple, Kevin Harer, James H. Kukula, Robert F. Damiano, Valeria Bertacco, Jerry Taylor, Jiang Long
    Smart Simulation Using Collaborative Formal and Simulation Engines. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:120-126 [Conf]
  22. C. Norris Ip
    Simulation Coverage Enhancement Using Test Stimulus Transformations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:127-133 [Conf]
  23. Dirk Ziegenbein, Jan Uerpmann, Ralph Ernst
    Dynamic Response Time Optimization for SDF Graphs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:135-140 [Conf]
  24. Kenneth L. Shepard, Dipak Sitaram, Yu Zheng
    Full-Chip, Three-Dimensional, Shapes-Based RLC Extraction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:142-149 [Conf]
  25. Anirudh Devgan, Hao Ji, Wayne Wei-Ming Dai
    How to Efficiently Capture On-Chip Inductance Effects: Introducing a New Circuit Element K. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:150-155 [Conf]
  26. Charlie Chung-Ping Chen, Tae-Woo Lee, Narayanan Murugesan, Susan C. Hagness
    Generalized FDTD-ADI: An Unconditionally Stable Full-Wave Maxwell's Equations Solver for VLSI Interconnect Modeling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:156-163 [Conf]
  27. Sung-Woo Hur, John Lillis
    MONGREL: Hybrid Techniques for Standard Cell Placement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:165-170 [Conf]
  28. Tony Chan, Jason Cong, Tianming Kong, Joseph R. Shinnerl
    Multilevel Optimization for Large-Scale Circuit Placement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:171-176 [Conf]
  29. Fan Mo, Abdallah Tabbara, Robert K. Brayton
    A Force-Directed Macro-Cell Placer. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:177-180 [Conf]
  30. Jeongjin Roh, Suresh Seshadri, Jacob A. Abraham
    Verification of Delta-Sigma Converters Using Adaptive Regression Modeling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:182-187 [Conf]
  31. Kenneth Francken, Peter J. Vancorenland, Georges G. E. Gielen
    DAISY: A Simulation-Based High-Level Synthesis Tool for Delta-Sigma Modulators. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:188-192 [Conf]
  32. Erik Lauwers, Georges G. E. Gielen
    ACTIF: A High-Level Power Estimation Tool for Analog Continuous-Time-Filters. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:193-196 [Conf]
  33. Chunhong Chen, Xiaojian Yang, Majid Sarrafzadeh
    Potential Slack: An Effective Metric of Combinational Circuit Performance. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:198-201 [Conf]
  34. Chien-Chu Kuo, Allen C.-H. Wu
    Delay Budgeting for a Timing-Closure-Driven Design Method. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:202-207 [Conf]
  35. Rongtian Zhang, Kaushik Roy, Cheng-Kok Koh, David B. Janes
    Stochastic Wire-Length and Delay Distribution of 3-Dimensional Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:208-213 [Conf]
  36. Michael W. Beattie, Satrajit Gupta, Lawrence T. Pileggi
    Hierarchical Interconnect Circuit Models. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:215-221 [Conf]
  37. Xiaodong Yang, Chung-Kuan Cheng, Walter H. Ku, Robert J. Carragher
    Hurwitz Stable Reduced Order Modelling for RLC Interconnect Trees. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:222-228 [Conf]
  38. Chandramouli V. Kashyap, Charles J. Alpert, Anirudh Devgan
    An "Effective" Capacitance Based Delay Metric for RC Interconnect. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:229-234 [Conf]
  39. Olivier Coudert, Jason Cong, Sharad Malik, Majid Sarrafzadeh
    Incremental CAD. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:236-243 [Conf]
  40. Thomas A. Henzinger, Shaz Qadeer, Sriram K. Rajamani
    Decomposing Refinement Proofs Using Assume-Guarantee Reasoning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:245-252 [Conf]
  41. Ke Zhong, Shantanu Dutt
    Effective Partition-Driven Placement with Simultaneous Level Processing and a Global Net Views. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:254-259 [Conf]
  42. Maogang Wang, Xiaojian Yang, Majid Sarrafzadeh
    DRAGON2000: Standard-Cell Placement Tool for Large Industry Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:260-263 [Conf]
  43. Terry Tao Ye, Giovanni De Micheli
    Data Path Placement with Regularity. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:264-270 [Conf]
  44. Baolin Yang, Dan Feng
    Efficient Finite-Difference Method for Quasi-Periodic Steady-State and Small Signal Analyses. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:272-276 [Conf]
  45. Amit Mehrotra
    Noise Analysis of Phase-Locked Loops. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:277-282 [Conf]
  46. Alper Demir, David E. Long, Jaijeet S. Roychowdhury
    Computing Phase Noise Eigenfunctions Directly from Steady-State Jacobian Matrices. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:283-288 [Conf]
  47. Alper Demir, Peter Feldmann
    Modelling and Analysis of Communication Circuit Performance Using Markov Chains and Efficient Graph Representations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:290-295 [Conf]
  48. Sangyun Kim, Peter A. Beerel
    Pipeline Optimization for Asynchronous Circuits: Complexity Analysis and an Efficient Optimal Algorithm. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:296-302 [Conf]
  49. Hans M. Jacobson, Chris J. Myers, Ganesh Gopalakrishnan
    Achieving Fast and Exact Hazard-Free Logic Minimization of Extended Burst-Mode gC Finite State Machines. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:303-310 [Conf]
  50. Sungpack Hong, Taewhan Kim
    Bus Optimization for Low-Power Data Path Synthesis Based on Network Flow Method. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:312-317 [Conf]
  51. Ki-Wook Kim, Kwang-Hyun Baek, Naresh R. Shanbhag, C. L. (Dave) Liu, Sung-Mo Kang
    Coupling-Driven Signal Encoding Scheme for Low-Power Interface Design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:318-321 [Conf]
  52. Paul-Peter Sotiriadis, Anantha Chandrakasan
    Bus Energy Minimization by Transition Pattern Coding (TPC) in Deep Submicron Technologies. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:322-327 [Conf]
  53. Andreas Bechtolsheim, Joe Costello, Aart de Gues, Patrick Scaglia, Jennifer Smith
    Why Doesn't EDA Get Enough Respect? [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:329- [Conf]
  54. Pinhong Chen, Desmond Kirkpatrick, Kurt Keutzer
    Switching Window Computation for Static Timing Analysis in Presence of Crosstalk Noise. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:331-337 [Conf]
  55. David Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Chanhee Oh, Rajendran Panda
    Slope Propagation in Static Timing Analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:338-343 [Conf]
  56. Pawan Kulshreshtha, Robert Palermo, Mohammad Mortazavi, Cyrus Bamji, Hakan Yalcin
    Transistor-Level Timing Analysis Using Embedded Simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:344-348 [Conf]
  57. Dinesh Ramanathan, Sandy Irani, Rajesh K. Gupta
    Latency Effects of System Level Power Management Algorithms. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:350-356 [Conf]
  58. Jiong Luo, Niraj K. Jha
    Power-Conscious Joint Scheduling of Periodic Task Graphs and Aperiodic Tasks in Distributed Real-Time Embedded Systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:357-364 [Conf]
  59. Youngsoo Shin, Kiyoung Choi, Takayasu Sakurai
    Power Optimization of Real-Time Embedded Systems on Variable Speed Processors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:365-368 [Conf]
  60. Qiushuang Zhang, Ian G. Harris
    A Data Flow Fault Coverage Metric for Validation of Behavioral HDL Descriptions. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:369-372 [Conf]
  61. Wei Chen, Cheng-Ta Hsieh, Massoud Pedram
    Simultaneous Gate Sizing and Fanout Optimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:374-378 [Conf]
  62. Rajeev Murgai
    Layout-Driven Area-Constrained Timing Optimization by Net Buffering. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:379-386 [Conf]
  63. Ching-Hwa Cheng, Shih-Chieh Chang, Shin-De Li, Wen-Ben Jone, Jinn-Shyan Wang
    Synthesis of CMOS Domino Circuits for Charge Sharing Alleviation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:387-390 [Conf]
  64. Yervant Zorian, Sujit Dey, Mike Rodgers
    Test of Future System-on-Chips. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:392-398 [Conf]
  65. Chung-Wen Albert Tsao, Cheng-Kok Koh
    UST/DME: A Clock Tree Router for General Skew Constraints. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:400-405 [Conf]
  66. Guoan Zhong, Cheng-Kok Koh, Kaushik Roy
    A Twisted Bundle Layout Structure for Minimizing Inductive Coupling Noise. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:406-411 [Conf]
  67. Sunil P. Khatri, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    Cross-Talk Immune VLSI Design Using a Network of PLAs Embedded in a Regular Layout Fabric. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:412-418 [Conf]
  68. Milenko Drinic, Darko Kirovski, Seapahn Meguerdichian, Miodrag Potkonjak
    Latency-Guided On-Chip Bus Network Design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:420-423 [Conf]
  69. Kanishka Lahiri, Anand Raghunathan, Sujit Dey
    Efficient Exploration of the SoC Communication Architecture Design Space. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:424-430 [Conf]
  70. Peter Grun, Nikil D. Dutt, Alexandru Nicolau
    MIST: An Algorithm for Memory Miss Traffic Management. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:431-437 [Conf]
  71. Thomas Kutzschebauch, Leon Stok
    Regularity Driven Logic Synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:439-446 [Conf]
  72. Ankur Srivastava, Ryan Kastner, Majid Sarrafzadeh
    Timing Driven Gate Duplication: Complexity Issues and Algorithms. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:447-450 [Conf]
  73. Arlindo L. Oliveira, Rajeev Murgai
    An Exact Gate Assignment Algorithm for Tree Circuits Under Rise and Fall Delays. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:451-457 [Conf]
  74. Yu Huang, Irith Pomeranz, Sudhakar M. Reddy, Janusz Rajski
    Improving the Proportion of At-Speed Tests in Scan BIST. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:459-463 [Conf]
  75. Seonki Kim, Bapiraju Vinnakota
    Fast Test Application Technique Without Fast Scan Clocks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:464-467 [Conf]
  76. Chi-Feng Wu, Chih-Tsun Huang, Chih-Wea Wang, Kuo-Liang Cheng, Cheng-Wen Wu
    Error Catch and Analysis for Semiconductor Memories Using March Tests. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:468-471 [Conf]
  77. Ian G. Harris, Russell Tessier
    Diagnosis of Interconnect Faults in Cluster-Based FPGA Architectures. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:472-475 [Conf]
  78. Haihua Su, Kaushik Gala, Sachin S. Sapatnekar
    Fast Analysis and Optimization of Power/Ground Networks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:477-480 [Conf]
  79. Geng Bai, Sudhakar Bobba, Ibrahim N. Hajj
    Simulation and Optimization of the Power Distribution Network in VLSI Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:481-486 [Conf]
  80. Shiyou Zhao, Kaushik Roy, Cheng-Kok Koh
    Frequency Domain Analysis of Switching Noise on Power Supply Network. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:487-492 [Conf]
  81. Jing-Jia Liou, Angela Krstic, Yi-Min Jiang, Kwang-Ting Cheng
    Path Selection and Pattern Generation for Dynamic Timing Analysis Considering Power Supply Noise Effects. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:493-496 [Conf]
  82. Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria
    Power Exploration for Embedded VLIW Architectures. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:498-503 [Conf]
  83. Margarida F. Jacome, Gustavo de Veciana, Viktor S. Lapinskii
    Exploring Performance Tradeoffs for Clustered VLIW ASIPs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:504-510 [Conf]
  84. James C. Hoe, Arvind
    Synthesis of Operation-Centric Hardware Descriptions. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:511-518 [Conf]
  85. Yunjian Jiang, Robert K. Brayton
    Don't Cares and Multi-Valued Logic Network Minimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:520-525 [Conf]
  86. Victor N. Kravets, Karem A. Sakallah
    Generalized Symmetries in Boolean Functions. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:526-532 [Conf]
  87. Shih-Chieh Chang, Zhong-Zhen Wu, He-Zhe Yu
    Wire Reconnections Based on Implication Flow Graph. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:533-536 [Conf]
  88. Ilker Hamzaoglu, Janak H. Patel
    Deterministic Test Pattern Generation Techniques for Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:538-543 [Conf]
  89. Irith Pomeranz, Sudhakar M. Reddy
    Simulation Based Test Generation for Scan Designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:544-549 [Conf]
  90. Tomoo Inoue, Debesh Kumar Das, Chiiho Sano, Takahiro Mihara, Hideo Fujiwara
    Test Generation for Acyclic Sequential Circuits with Hold Registers. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:550-556 [Conf]
  91. Michael Pronath, Volker Gloeckel, Helmut E. Graeb
    A Parametric Test Method for Analog Components in Integrated Mixed-Signal Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:557-561 [Conf]
  92. Sudip Chakrabarti, Abhijit Chatterjee
    Partial Simulation-Driven ATPG for Detection and Diagnosis of Faults in Analog Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:562-567 [Conf]
  93. Lode Nachtergaele, Vivek Tiwari, Nikil D. Dutt
    System and Architecture-Level Power Reduction for Microprocessor-Based Communication and Multi-Media Applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:569-573 [Conf]
  94. Andrzej J. Strojwas
    Design-Manufacturing Interface for 0.13 Micron and Below. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2000, pp:575- [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002