The SCEAS System
Navigation Menu

Conferences in DBLP

International Conference on Computer Aided Design (ICCAD) (iccad)
1990 (conf/iccad/1990)

  1. Peter Koo, Fabrizio Lombardi, Donatella Sciuto
    A Routing Algorithm for Harvesting Multipipeline Arrays with Small Intercell and Pipeline Delays. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:2-5 [Conf]
  2. Shinichiro Haruyama, D. F. Wong, Donald S. Fussell
    Topological Routing Using Geometric Information. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:6-9 [Conf]
  3. Yang Cai, D. F. Wong
    An Optimal Channel Pin Assignment Algorithm. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:10-13 [Conf]
  4. Joel Grodstein, Jengwei Pan, William J. Grundmann, Bruce Gieseke, Yao-Tsung Yen
    Constraint Identification for Timing Verification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:16-19 [Conf]
  5. Joel Grodstein, Jim Montanaro, Susanne Marino
    Race Detection for Two-Phase Systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:20-23 [Conf]
  6. Habib Youssef, Eugene Shragowitz
    Timing Constraints for Correct Performance. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:24-27 [Conf]
  7. Srinivas Devadas, Kurt Keutzer
    An Automata-Theoretic Approach to Behavioral Equivalence. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:30-33 [Conf]
  8. Eduard Cerny, C. Mauras
    Tautology Checking Using Cross-Controllability and Cross-Observability Relations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:34-37 [Conf]
  9. Masahiro Fujita, Yusuke Matsunaga, Takeo Kakuda
    Automatic and Semi-Automatic Verification of Switch-Level Circuits with Temporal Logic and Binary Decision Diagrams. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:38-41 [Conf]
  10. G. Meixner, Ulrich Lauther
    A New Global Router Based on a Flow Model and Linear Assignment. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:44-47 [Conf]
  11. Somchai Prasitjutrakul, William J. Kubitz
    A Timing-Driven Global Router for Custom Chip Design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:48-51 [Conf]
  12. Wayne Wei-Ming Dai, Raymond Kong, Jeffrey Jue
    Rubber Band Routing and Dynamic Data Representation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:52-55 [Conf]
  13. Kaoru Kawamura, T. Shindo, Toshiyuki Shibuya, H. Miwatari, Y. Ohki
    Touch and Cross Router. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:56-59 [Conf]
  14. Rahul Razdan, Gabriel P. Bischoff, Ernst G. Ulrich
    Exploitation of Periodicity in Logic Simulation of Synchronous Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:62-65 [Conf]
  15. David Blaauw, Robert B. Mueller-Thuns, Daniel G. Saab, Prithviraj Banerjee, Jacob A. Abraham
    SNEL: A Switch-Level Simulator Using Multiple Levels of Functional Abstraction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:66-69 [Conf]
  16. Peter M. Maurer
    Optimization of the Parallel Technique for Compiled Unit-Delay Simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:70-73 [Conf]
  17. E. Vandris, Gerald E. Sobelman
    Fast Switch-Level Fault Simulation Using Functional Fault Modeling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:74-77 [Conf]
  18. Wayne Wolf
    An Algorithm for Nearly-Minimal Collapsing of Finite-State Machine Networks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:80-83 [Conf]
  19. Pranav Ashar, Abhijit Ghosh, Srinivas Devadas, A. Richard Newton
    Implicit State Transition Graphs: Applications to Sequential Logic Synthesis and Test. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:84-87 [Conf]
  20. Bill Lin, Fabio Somenzi
    Minimization of Symbolic Relations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:88-91 [Conf]
  21. Arvind Srinivasan, Timothy Kam, Sharad Malik, Robert K. Brayton
    Algorithms for Discrete Function Manipulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:92-95 [Conf]
  22. Massoud Pedram, Malgorzata Marek-Sadowska, Ernest S. Kuh
    Floorplanning with Pin Assignment. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:98-101 [Conf]
  23. Chong-Min Kyung, Peter V. Kraus, Dieter A. Mlynski
    Diffusion - An Analytic Procedure Applied to Macro Cell Placement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:102-105 [Conf]
  24. Gopalakrishnan Vijayan, Ren-Song Tsay
    Floorplanning by Topological Constraint Reduction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:106-109 [Conf]
  25. M. A. Styblinski
    Design for Circuit Quality: Yield Maximization, Minimax, and Taguchi Approach. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:112-115 [Conf]
  26. Linda Milor, Alberto L. Sangiovanni-Vincentelli
    Computing Parametric Yield Accurately and Efficiently. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:116-119 [Conf]
  27. Peter Feldmann, Stephen W. Director
    Accurate and Efficient Evaluation of Circuit Yield and Yield Gradients. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:120-123 [Conf]
  28. Olivier Coudert, Jean Christophe Madre
    A Unified Framework for the Formal Verification of Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:126-129 [Conf]
  29. Hervé J. Touati, Hamid Savoj, Bill Lin, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    Implicit State Enumeration of Finite State Machines Using BDDs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:130-133 [Conf]
  30. Hyunwoo Cho, Gary D. Hachtel, Seh-Woong Jeong, Bernard Plessier, Eric M. Schwarz, Fabio Somenzi
    ATPG Aspects of FSM Verification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:134-137 [Conf]
  31. Masako Murofushi, Masaaki Yamada, Takashi Mitsuhashi
    FOLM-Planner: A New Floorplanner with a Frame Overlapping Floorplan Model Suitable for SOG (Sea-of-Gates) Type Gate Arrays. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:140-143 [Conf]
  32. Allen C.-H. Wu, Daniel Gajski
    Partitioning Algorithms for Layout Synthesis from Register-Transfer Netlists. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:144-147 [Conf]
  33. Thomas Lengauer, Rolf Müller
    A Robust Framework for Hierarchical Floorplanning with Integrated Global Wiring. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:148-151 [Conf]
  34. Alexander Herrigel
    GRCA: A Global Approach for Floorplanning Synthesis in VLSI Macrocell Design. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:152-155 [Conf]
  35. Yun-Cheng Ju, Fred L. Yang, Resve A. Saleh
    Mixed-Mode Incremental Simulation and Concurrent Fault Simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:158-161 [Conf]
  36. Chandramouli Visweswariah, Peter Feldmann, Ronald A. Rohrer
    Incorporation of Inductors in Piecewise Approximate Circuit Simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:162-165 [Conf]
  37. Rui Wang, Omar Wing
    Analysis of VLSI Microconductor Systems by Bi-Level Waveform Relaxation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:166-169 [Conf]
  38. Charles A. Zukowski, George Gristede, Albert E. Ruehli
    Measuring Error Propagation in Waveform Relaxation Algorithms. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:170-173 [Conf]
  39. M. Ohmura, Hiroto Yasuura, Keikichi Tamaru
    Extraction of Functional Information from Combinatorial Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:176-179 [Conf]
  40. Se-Kyoung Hong, In-Cheol Park, Chong-Min Kyung
    An O(n3logn)-Heuristic for Microcode Bit Optimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:180-183 [Conf]
  41. Peter Vanbekbergen, Francky Catthoor, Gert Goossens, Hugo De Man
    Optimized Synthesis of Asynchronous Control Circuits from Graph-Theoretic Specifications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:184-187 [Conf]
  42. David E. Wallace, Mandalagiri S. Chandrasekhar
    High-Level Delay Estimation for Technology-Independent Logic Equations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:188-191 [Conf]
  43. Yoichi Shiraishi, Mitsuyuki Kimura, Kazuhiko Kobayashi, Tetsuro Hino, Miki Seriuchi, Manabu Kusaoke
    A High-Packing Density Module Generator for Bipolar Analog LSIs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:194-197 [Conf]
  44. Umakanta Choudhury, Alberto L. Sangiovanni-Vincentelli
    Constraint-Based Channel Routing for Analog and Mixed Analog/Digital Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:198-201 [Conf]
  45. Enrico Malavasi, Umakanta Choudhury, Alberto L. Sangiovanni-Vincentelli
    A Routing Methodology for Analog Integrated Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:202-205 [Conf]
  46. Elke A. Rundensteiner, Daniel Gajski, Lubomir Bic
    The Component Sythesis Algorithm: Technology Mapping for Register Transfer Descriptions. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:208-211 [Conf]
  47. Rajiv Jain
    MOSP: Module Selection for Pipelined Designs with Multi-Cycle Operations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:212-215 [Conf]
  48. Rajesh K. Gupta, Giovanni De Micheli
    Partitioning of Functional Models of Synchronous Digital Systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:216-219 [Conf]
  49. Udo Mahlstedt, Torsten Grüning, Cengiz Özcan, Wilfried Daehn
    Contest: A Fast ATPG Tool for Very Large Combinatorial Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:222-225 [Conf]
  50. Kwang-Ting Cheng, Jing-Yang Jou
    A Single-State-Transition Fault Model for Sequential Machines. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:226-229 [Conf]
  51. Chun-Hung Chen, Jacob A. Abraham
    Mixed-Level Sequential Test Generation Using a Nine-Valued Relaxation Algorithm. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:230-233 [Conf]
  52. Krishna P. Belkhale, Prithviraj Banerjee
    A Parallel Algorithm for Hierarchical Circuit Extraction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:236-239 [Conf]
  53. Hirotoshi Sawada
    A Hierarchical Circuit Extractor Based on New Cell Overlap Analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:240-243 [Conf]
  54. William J. Grundmann, Yao-Tsung Yen
    XREF/COUPLING: Capacitive Coupling Error Checker. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:244-247 [Conf]
  55. Jean-Claude Dufourd, Jean-François Naviner, Francis Jutand
    Preform: A Process Independent Symbolic Layout System. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:248-251 [Conf]
  56. D. L. Springer, Donald E. Thomas
    Exploiting the Special Structure of Conflict and Compatibility Graphs in High-Level Synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:254-257 [Conf]
  57. Catherine H. Gebotys, Mohamed I. Elmasry
    A Global Optimization Approach for Architectural Synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:258-261 [Conf]
  58. John A. Nestor, Ganesh Krishnamoorthy
    SALSA: A New Approach to Scheduling with Timing Constraints. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:262-265 [Conf]
  59. Susana Stoica
    A Hierarchical Approach for Testing Large Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:268-271 [Conf]
  60. Manfred Geilert, Jürgen Alt, Michael Zimmermann
    On the Efficiency of the Transition Fault Model for Delay Faults. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:272-275 [Conf]
  61. Scott H. Robinson, John Paul Shen
    Evaluation and Synthesis of Self-Monitoring State Machines. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:276-279 [Conf]
  62. Weiwei Mao, Ravi K. Gulati, Deepak K. Goel, Michael D. Ciletti
    QUIETEST: A Quiescent Current Testing Methodology for Detecting Leakage Faults. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:280-283 [Conf]
  63. Gani Jusuf, Paul R. Gray, Alberto L. Sangiovanni-Vincentelli
    CADICS - Cyclic Analog-to-Digital Converter Synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:286-289 [Conf]
  64. Dale E. Hocevar, Rajeev Arora, Uttiya Dasgupta, Sattam Dasgupta, Nagaraj Subramanyam, Sham Kashyap
    A Usable Circuit Optimizer for Designers. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:290-293 [Conf]
  65. Linda Milor, Alberto L. Sangiovanni-Vincentelli
    Optimal Test Set Design for Analog Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:294-297 [Conf]
  66. David Knapp
    Feedback-Driven Datapath Optimization in Fasolt. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:300-303 [Conf]
  67. Christian Ewering
    Automatic High Level Syntesis of Partitioned Busses. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:304-307 [Conf]
  68. Fur-Shing Tsai, Yu-Chin Hsu
    Data Path Construction and Refinement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:308-311 [Conf]
  69. Kee Sup Kim, Charles R. Kime
    Partial Scan by Use of Empirical Testability. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:314-317 [Conf]
  70. Tsu-Wei Ku, Wei-Kong Chia
    Test Vector Minimization During Logic Synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:318-321 [Conf]
  71. Dong-Ho Lee, Sudhakar M. Reddy
    On Determining Scan Flip-Flops in Partial-Scan Designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:322-325 [Conf]
  72. Michael A. B. Jackson, Arvind Srinivasan, Ernest S. Kuh
    A Fast Algorithm for Performance-Driven Placement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:328-331 [Conf]
  73. Stefan Mayrhofer, Ulrich Lauther
    Congestion-Driven Placement Using a New Multi-Partitioning Heuristic. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:332-335 [Conf]
  74. William Swartz, Carl Sechen
    New Algorithms for the Placement and Routing of Macro Cells. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:336-339 [Conf]
  75. Cheryl Harkness, Daniel P. Lopresti
    VLSI Placement Using Uncertain Costs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:340-343 [Conf]
  76. Wayne Allen, Douglas Rosenthal, Kenneth W. Fiduk
    Distributed Methodology Management for Design-in-the-Large. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:346-349 [Conf]
  77. Felix Bretschneider, Christa Kopf, Helmut Lagger, Arding Hsu, Elizabeth Wei
    Knowledge Based Design Flow Management. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:350-353 [Conf]
  78. Toshiaki Miyazaki, Tamio Hoshino, Makoto Endo
    A CAD Process Scheduling Technique. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:354-357 [Conf]
  79. Tzi-cker Chiueh, Randy H. Katz
    A History Model for Managing the VLSI Design Process. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:358-361 [Conf]
  80. Janusz Rajski, Jerzy Tyszer, Babak Salimi
    On the Diagnostic Resolution of Signature Analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:364-367 [Conf]
  81. André Ivanov, Yervant Zorian
    Computing the Error Escape Probability in Count-Based Compaction Schemes. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:368-371 [Conf]
  82. Paul G. Ryan, W. Kent Fuchs
    Partial Detectability Profiles. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:372-375 [Conf]
  83. Ikuo Harada, Hitoshi Kitazawa, Takao Kaneko
    A Routing System for Mixed A/D Standard Cell LSIs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:378-381 [Conf]
  84. Stephen Dean Brown, Jonathan Rose, Zvonko G. Vranesic
    A Detailed Router for Field-Programmable Gate Arrays. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:382-385 [Conf]
  85. Akihiko Hanafusa, Yasuhiro Yamashita, Mitsuru Yasuda
    Three-Dimensional Routing for Multilayer Ceramic Printed Circuit Boards. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:386-389 [Conf]
  86. Hansruedi Heeb, Albert E. Ruehli, J. Janak, Shahrokh Daijavad
    Simulating Electromagnetic Radiation of Printed Circuit Boards. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:392-395 [Conf]
  87. Ulrich Jagau
    SIMCURRENT: An Efficient Program for the Estimation of the Current Flow of Complex CMOS Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:396-399 [Conf]
  88. Yusuf Leblebici, Sung-Mo Kang
    An Integrated Hot-Carrier Degradation Simulator for VLSI Reliability Analysis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:400-403 [Conf]
  89. Yusuke Matsunaga, Masahiro Fujita, Takeo Kakuda
    Multi-Level Logic Minimization Across Latch Boundaries. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:406-409 [Conf]
  90. Sharad Malik, Kanwar Jit Singh, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    Performance Optimization of Pipelined Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:410-413 [Conf]
  91. Bill Lin, Hervé J. Touati, A. Richard Newton
    Don't Care Minimization of Multi-Level Sequential Logic Networks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:414-417 [Conf]
  92. Masato Edahiro
    A Clock Net Reassignment Algorithm Usign Voronoi Diagram. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:420-423 [Conf]
  93. Jan-Ming Ho, Majid Sarrafzadeh, Atsushi Suzuki
    An Exact Algorithm for Single-Layer Wire-Length Minimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:424-427 [Conf]
  94. Andrew B. Kahng, Gabriel Robins
    A New Class of Steiner Trees Heuristics with Good Performance: The Iterated 1-Steiner-Approach. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:428-431 [Conf]
  95. Ting-Hai Chao, Yu-Chin Hsu
    Rectilinear Steiner Tree Construction by Local and Global Refinement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:432-435 [Conf]
  96. John A. Trotter, Prathima Agrawal
    Circuit Simulation Algorithms on a Distributed Memory Multiprocessor System. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:438-441 [Conf]
  97. Luis Miguel Silveira, Andrew Lumsdaine, Jacob White
    Parallel Simulation Algorithms for Grid-Based Analog Signal Processors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:442-445 [Conf]
  98. Kartikeya Mayaram, Ping Yang, Jue-Hsien Chern, Richard Burch, Lawrence A. Arledge Jr., Paul F. Cox
    A Parallel Block-Diagonal Preconditioned Conjugate-Gradient Solution Algorithm for Circuit and Device Simulations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:446-449 [Conf]
  99. John A. Trotter, Prathima Agrawal
    Fast Overlapped Scattered Array Storage Schemes for Sparse Matrices. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:450-453 [Conf]
  100. Michael J. Bryan, Srinivas Devadas, Kurt Keutzer
    Testability-Preserving Circuit Transformations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:456-459 [Conf]
  101. Alexander Saldanha, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, Kwang-Ting Cheng
    Timing Optimization with Testability Considerations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:460-463 [Conf]
  102. Heh-Tyan Liaw, Jia-Horng Tsaih, Chen-Shang Lin
    Efficient Automatic Diagnosis of Digital Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:464-467 [Conf]
  103. Masahiro Tomita, Hong-Hai Jiang, Tamotsu Yamamoto, Yoshihiro Hayashi
    An Algorithm for Locating Logic Design Errors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:468-471 [Conf]
  104. Jukka Lahti, Jorma Kivelä
    Logic Compilation from Graphical Dependency Notation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:474-477 [Conf]
  105. Nishit P. Parikh, Chi-Yuan Lo, Anoop Singhal, Kwok W. Wu
    HS: A Hierarchical Search Package for CAD Data. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:478-481 [Conf]
  106. Peter van den Hamer, Menno Treffers
    A Data Flow Based Architecture for CAD Frameworks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:482-485 [Conf]
  107. Ibrahim N. Hajj
    An Algebra for Switch-Level Simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:488-491 [Conf]
  108. Kuen-Jong Lee, Rajiv Gupta, Melvin A. Breuer
    A New Method for Assigning Signal Flow Directions to MOS Transistors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:492-495 [Conf]
  109. Vishwani D. Agrawal, Srimat T. Chakradhar
    Logic Simulation and Parallel Processing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:496-499 [Conf]
  110. Maurizio Damiani, Giovanni De Micheli
    Observability Don't Care Sets and Boolean Relations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:502-505 [Conf]
  111. F. Crowet, Marc Davio, C. Dierieck, J. Durieu, G. Louis, Chantal Ykman-Couvreur
    PHIFACT, a Boolean Preprocessor for Multi-Level Logic Synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:506-509 [Conf]
  112. Jagadeesh Vasudevamurthy, Janusz Rajski
    A Method for Concurrent Decomposition and Factorization of Boolean Expressions. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:510-513 [Conf]
  113. Yen-Chuen Wei, Chung-Kuan Cheng
    A Two-Level Two-Way Partitioning Algorithm. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:516-519 [Conf]
  114. Jörn Garbers, Hans Jürgen Prömel, Angelika Steger
    Finding Clusters in VLSI Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:520-523 [Conf]
  115. T. W. Her, D. F. Wong, T. H. Freeman
    Optimal Orientations of Transistor Chains. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:524-527 [Conf]
  116. John Conway, Gerard Beenker
    A New Template Based Approach to Module Generation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:528-531 [Conf]
  117. Xiaoli Huang, Vivek Raghavan, Ronald A. Rohrer
    AWEsim: A Program for the Efficient Analysis of Linear(ized) Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:534-537 [Conf]
  118. John Y. Lee, Xiaoli Huang, Ronald A. Rohrer
    Efficient Pole Zero Sensitivity Calculation in AWE. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:538-541 [Conf]
  119. Tak K. Tang, Michel S. Nakhla
    Analysis of High-Speed VLSI Interconnects Using the Asymptotic Waveform Evaluation Technique. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:542-545 [Conf]
  120. Douglas R. Holberg, Santanu Dutta, Lawrence T. Pillage
    DC Parameterized Piecewise-Function Transistor Models for Bipolar and MOS Logic Stage Delay Evaluation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:546-549 [Conf]
  121. Karem A. Sakallah, Trevor N. Mudge, Kunle Olukotun
    check Tc and min Tc: Timing Verification and Optimal Clocking of Synchronous Digtal Circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:552-555 [Conf]
  122. Kaname Kuroki, Nobuyoshi Nomizu, Shigenobu Suzuki, Kazutoshi Takahashi
    A Framework Environment for Logic Design Support System. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:556-559 [Conf]
  123. Luciano Lavagno, Sharad Malik, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    MIS-MV: Optimization of Multi-Level Logic with Multiple-Valued Inputs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:560-563 [Conf]
  124. Akira Nagoya, Yukihiro Nakamura, Kiyoshi Oguri, Ryo Nomura
    Multi-Level Optimization for Large Scale ASICS. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1990, pp:564-567 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002