The SCEAS System
Navigation Menu

Conferences in DBLP

International Conference on Computer Aided Design (ICCAD) (iccad)
1997 (conf/iccad/1997)

  1. Anirudh Devgan, Leon Stok, Sandip Kundu
    Timing analysis and optimization: from devices to systems (tutorial). [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:- [Conf]
  2. Wayne Wei-Ming Dai, Howard Kalter, Rob Roy, Wayne Wolf
    Critical technologies and methodologies for systems-on-chips (tutorial). [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:- [Conf]
  3. Rajesh K. Gupta, Mani B. Srivastava
    Design technology for building wireless systems (tutorial). [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:- [Conf]
  4. Raul Camposano, Andrew Seawright, Joseph Buck
    Modeling and synthesis of behavior, control and dataflow (tutorial). [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:- [Conf]
  5. Yirng-An Chen, Randal E. Bryant
    PHDD: an efficient graph representation for floating point circuit verification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:2-7 [Conf]
  6. Christoph Scholl, Rolf Drechsler, Bernd Becker
    Functional simulation using binary decision diagrams. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:8-12 [Conf]
  7. Patrick Vuillod, Luca Benini, Giovanni De Micheli
    Generalized matching from theory to application. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:13-20 [Conf]
  8. Jian Li, Rajesh K. Gupta
    Decomposition of timed decision tables and its use in presynthesis optimizations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:22-27 [Conf]
  9. Chi-Hong Hwang, Allen C.-H. Wu
    A predictive system shutdown method for energy saving of event-driven computation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:28-32 [Conf]
  10. Kyosun Kim, Ramesh Karri, Miodrag Potkonjak
    Micro-preemption synthesis: an enabling mechanism for multi-task VLSI systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:33-38 [Conf]
  11. Zhanping Chen, Kaushik Roy, Tan-Li Chou
    Power sensitivity - a new method to estimate power dissipation considering uncertain specifications of primary inputs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:40-44 [Conf]
  12. Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel
    Effects of delay models on peak power estimation of VLSI sequential circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:45-51 [Conf]
  13. Chuan-Yu Wang, Kaushik Roy
    COSMOS: a continuous optimization approach for maximum power estimation of CMOS circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:52-55 [Conf]
  14. Altan Odabasioglu, Mustafa Celik, Lawrence T. Pileggi
    PRIMA: passive reduced-order interconnect macromodeling algorithm. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:58-65 [Conf]
  15. Ibrahim M. Elfadel, David D. Ling
    A block rational Arnoldi algorithm for multipoint passive model-order reduction of multiport RLC networks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:66-71 [Conf]
  16. Tuyen V. Nguyen, Jing Li
    Multipoint Padé approximation using a rational block Lanczos algorithm. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:72-75 [Conf]
  17. Valeria Bertacco, Maurizio Damiani
    The disjunctive decomposition of logic functions. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:78-82 [Conf]
  18. Rajat Aggarwal, Rajeev Murgai, Masahiro Fujita
    Speeding up technology-independent timing optimization by network partitioning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:83-90 [Conf]
  19. Evguenii I. Goldberg, Luca P. Carloni, Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    Negative thinking by incremental problem solving: application to unate covering. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:91-98 [Conf]
  20. Catherine H. Gebotys
    DSP address optimization using a minimum cost circulation technique. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:100-103 [Conf]
  21. Darko Kirovski, Chunho Lee, Miodrag Potkonjak, William H. Mangione-Smith
    Application-driven synthesis of core-based systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:104-107 [Conf]
  22. Inki Hong, Miodrag Potkonjak, Ramesh Karri
    Power optimization using divide-and-conquer techniques for minimization of the number of operations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:108-111 [Conf]
  23. Mahadevamurty Nemani, Farid N. Najm
    High-level area and power estimation for VLSI circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:114-119 [Conf]
  24. Naushik Sankarayya, Kaushik Roy, Debashis Bhattacharya
    Optimizing computations in a transposed direct form realization of floating-point LTI-FIR systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:120-125 [Conf]
  25. Sumant Ramprasad, Naresh R. Shanbhag, Ibrahim N. Hajj
    Achievable bounds on signal transition activity. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:126-129 [Conf]
  26. Peter Feldmann, Roland W. Freund
    Circuit noise evaluation by Padé approximation based model-reduction techniques. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:132-138 [Conf]
  27. Kenneth L. Shepard, Vinod Narayanan, Peter C. Elmendorf, Gutuan Zheng
    Global harmony: coupled noise analysis for full-chip RC interconnect networks. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:139-146 [Conf]
  28. Anirudh Devgan
    Efficient coupled noise estimation for on-chip interconnects. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:147-151 [Conf]
  29. Charles J. DeVane
    Efficient circuit partitioning to extend cycle simulation beyond synchronous circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:154-161 [Conf]
  30. Jeremy R. Levitt, Kunle Olukotun
    Verifying correct pipeline implementation for microprocessors. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:162-169 [Conf]
  31. Darko Kirovski, Miodrag Potkonjak
    A quantitative approach to functional debugging. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:170-173 [Conf]
  32. Yuji Kukimoto, Wilsin Gosti, Alexander Saldanha, Robert K. Brayton
    Approximate timing analysis of combinational circuits under the XBD0 model. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:176-181 [Conf]
  33. Mukund Sivaraman, Andrzej J. Strojwas
    Timing analysis based on primitive path delay fault identification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:182-189 [Conf]
  34. Supratik Chakraborty, David L. Dill
    Approximate algorithms for time separation of events. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:190-194 [Conf]
  35. Chandramouli Visweswariah
    Optimization techniques for high-performance digital circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:198-205 [Conf]
  36. Amit Mehrotra, Shaz Qadeer, Vigyan Singhal, Robert K. Brayton, Adnan Aziz, Alberto L. Sangiovanni-Vincentelli
    Sequential optimisation without state space exploration. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:208-215 [Conf]
  37. Naresh Maheshwari, Sachin S. Sapatnekar
    Minimum area retiming with equivalent initial states. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:216-219 [Conf]
  38. Jordi Cortadella, Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Enric Pastor, Alexandre Yakovlev
    Decomposition and technology mapping of speed-independent circuits using Boolean relations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:220-227 [Conf]
  39. Chuck Monahan, Forrest Brewer
    Scheduling and binding bounds for RT-level symbolic execution. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:230-235 [Conf]
  40. Chih-Tung Chen, Kayhan Küçükçakar
    High-level scheduling model and control synthesis for a broad range of design applications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:236-243 [Conf]
  41. Ganesh Lakshminarayana, Kamal S. Khouri, Niraj K. Jha
    Wavesched: a novel scheduling technique for control-flow intensive behavioral descriptions. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:244-250 [Conf]
  42. Lieven Vandenberghe, Stephen P. Boyd, Abbas El Gamal
    Optimal wire and transistor sizing for circuits with non-tree topology. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:252-259 [Conf]
  43. Atsushi Takahashi, Kazunori Inoue, Yoji Kajitani
    Clock-tree routing realizing a clock-schedule for semi-synchronous circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:260-265 [Conf]
  44. Gary Ellis, Lawrence T. Pileggi, Rob A. Rutenbar
    A hierarchical decomposition methodology for multistage clock circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:266-273 [Conf]
  45. Richard Griffith, Michel S. Nakhla
    A new high-order absolutely-stable explicit numerical integration algorithm for the time-domain simulation of nonlinear circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:276-280 [Conf]
  46. Andrew R. Conn, Ruud A. Haring, Chandramouli Visweswariah, Chai Wah Wu
    Circuit optimization via adjoint Lagrangians. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:281-288 [Conf]
  47. Tuyen V. Nguyen, Anirudh Devgan
    State transformation in event driven explicit simulation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:289-294 [Conf]
  48. Evguenii I. Goldberg, Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    A fast and robust exact algorithm for face embedding. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:296-303 [Conf]
  49. Subhasish Mitra, LaNae J. Avra, Edward J. McCluskey
    An output encoding problem and a solution technique. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:304-307 [Conf]
  50. Robert M. Fuhrer, Steven M. Nowick
    OPTIMIST: state minimization for optimal 2-level logic implementation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:308-315 [Conf]
  51. Oliver Bringmann, Wolfgang Rosenstiel
    Resource sharing in hierarchical synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:318-325 [Conf]
  52. Salil Raje, Reinaldo A. Bergamaschi
    Generalized resource sharing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:326-332 [Conf]
  53. Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau
    Exploiting off-chip memory access modes in high-level synthesis. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:333-340 [Conf]
  54. Morgan Enos, Scott Hauck, Majid Sarrafzadeh
    Replication for logic bipartitioning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:342-349 [Conf]
  55. Shantanu Dutt, Halim Theny
    Partitioning around roadblocks: tackling constraints with intermediate relaxations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:350-355 [Conf]
  56. Wray L. Buntine, Lixin Su, A. Richard Newton, Andrew Mayer
    Adaptive methods for netlist partitioning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:356-363 [Conf]
  57. C.-J. Richard Shi, Xiang-Dong Tan
    Symbolic analysis of large analog circuits with determinant decision diagrams. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:366-373 [Conf]
  58. Francky Leyn, Walter Daems, Georges G. E. Gielen, Willy M. C. Sansen
    A behavioral signal path modeling methodology for qualitative insight in and efficient sizing of CMOS opamps. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:374-381 [Conf]
  59. Pramodchandran N. Variyam, Abhijit Chatterjee
    Test generation for comprehensive testing of linear analog circuits using transient response sampling. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:382-385 [Conf]
  60. Amit Narayan, Adrian J. Isles, Jawahar Jain, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli
    Reachability analysis using partitioned-ROBDDs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:388-393 [Conf]
  61. Dominik Stoffel, Wolfgang Kunz
    Record & play: a structural fixed point iteration for sequential circuit verification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:394-399 [Conf]
  62. Hiroaki Iwashita, Tsuneo Nakata
    Forward model checking techniques oriented to buggy designs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:400-404 [Conf]
  63. Chen-Huan Chiang, Sandeep K. Gupta
    BIST TPG for faults in system backplanes. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:406-413 [Conf]
  64. Christos A. Papachristou, Mikhail Baklashov
    A test synthesis technique using redundant register transfers. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:414-420 [Conf]
  65. Irith Pomeranz, Sudhakar M. Reddy
    Built-in test generation for synchronous sequential circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:421-426 [Conf]
  66. Vi Chi Chan, David Lewis
    Hierarchical partitioning for field-programmable systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:428-435 [Conf]
  67. Jason Y. Zien, Pak K. Chan, Martine D. F. Schlag
    Hybrid spectral/iterative partitioning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:436-440 [Conf]
  68. Jason Cong, Honching Peter Li, Sung Kyu Lim, Toshiyuki Shibuya, Dongmin Xu
    Large scale circuit partitioning with loose/stable net removal and signal flow based clustering. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:441-446 [Conf]
  69. Sharad Kapur, David E. Long
    IES3: a fast integral equation solver for efficient 3-dimensional extraction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:448-455 [Conf]
  70. Mattan Kamon, Nuno Alexandre Marques, Jacob White
    FastPep: a fast parasitic extraction program for complex three-dimensional geometries. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:456-460 [Conf]
  71. Ranjit Gharpurey, Srinath Hosur
    Transform domain techniques for efficient extraction of substrate parasitics. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:461-467 [Conf]
  72. Mark D. Spiller, A. Richard Newton
    EDA and the network. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:470-476 [Conf]
  73. Jason Cong, David Zhigang Pan, Lei He, Cheng-Kok Koh, Kei-Yong Khoo
    Interconnect design for deep submicron ICs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:478-485 [Conf]
  74. Joseph N. Kozhaya, Farid N. Najm
    Accurate power estimation for large sequential circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:488-493 [Conf]
  75. Luca Benini, Giovanni De Micheli, Enrico Macii, Massimo Poncino, Riccardo Scarsi
    Fast power estimation for deterministic input streams. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:494-501 [Conf]
  76. Jing-Yuan Lin, Wen-Zen Shen, Jing-Yang Jou
    A power modeling and characterization method for macrocells using structure information. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:502-506 [Conf]
  77. Gilberto Fernandes Marchioro, Jean-Marc Daveau, Ahmed Amine Jerraya
    Transformational partitioning for co-design of multiprocessor systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:508-515 [Conf]
  78. Asawaree Kalavade, P. A. Subrahmanyam
    Hardware/software partitioning for multi-function systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:516-521 [Conf]
  79. Robert P. Dick, Niraj K. Jha
    MOGAC: a multiobjective genetic algorithm for the co-synthesis of hardware-software embedded systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:522-529 [Conf]
  80. Majid Sarrafzadeh, Maogang Wang
    NRG: global and detailed placement. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:532-537 [Conf]
  81. Shinji Sato
    Simulated quenching: a new placement method for module generation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:538-541 [Conf]
  82. Srinivasa Rao Arikati, Ravi Varadarajan
    A signature based approach to regularity extraction. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:542-545 [Conf]
  83. Haluk Konuk
    Fault simulation of interconnect opens in digital CMOS circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:548-554 [Conf]
  84. Tzuhao Chen, Ibrahim N. Hajj
    GOLDENGATE: a fast and accurate bridging fault simulator under a hybrid logic/IDDQ testing environment. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:555-561 [Conf]
  85. Srikanth Venkataraman, W. Kent Fuchs
    A deductive technique for diagnosis of bridging faults. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:562-567 [Conf]
  86. Unni Narayanan, C. L. Liu
    Low power logic synthesis for XOR based circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:570-574 [Conf]
  87. Hai Zhou, D. F. Wong
    An exact gate decomposition algorithm for low-power technology mapping. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:575-580 [Conf]
  88. Luca P. Carloni, Patrick C. McGeer, Alexander Saldanha, Alberto L. Sangiovanni-Vincentelli
    Trace driven logic synthesis&mdashapplication to power minimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:581-588 [Conf]
  89. Sujit Dey, Surendra Bommu
    Performance analysis of a system of communicating processes. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:590-597 [Conf]
  90. Rolf Ernst, Wei Ye
    Embedded program timing analysis based on path clustering and architecture classification. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:598-604 [Conf]
  91. Vincent John Mooney III, Giovanni De Micheli
    Real time analysis and priority scheduler generation for hardware-software systems with a synthesized run-time system. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:605-612 [Conf]
  92. Chris C. N. Chu, D. F. Wong
    A new approach to simultaneous buffer insertion and wire sizing. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:614-621 [Conf]
  93. Youxin Gao, D. F. Wong
    Optimal shape function for a bi-directional wire under Elmore delay model. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:622-627 [Conf]
  94. Jason Cong, Lei He, Cheng-Kok Koh, David Zhigang Pan
    Global interconnect sizing and spacing with consideration of coupling capacitance. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:628-633 [Conf]
  95. Ramesh C. Tekumalla, Premachandran R. Menon
    Test generation for primitive path delay faults in combinational circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:636-641 [Conf]
  96. Keerthi Heragu, Janak H. Patel, Vishwani D. Agrawal
    Fast identification of untestable delay faults using implications. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:642-647 [Conf]
  97. Paul Tafertshofer, Andreas Ganz, Manfred Henftling
    A SAT-based implication engine for efficient ATPG, equivalence checking, and optimization of netlists. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:648-655 [Conf]
  98. Sergey Gavrilov, Alexey Glebov, Satyamurthy Pullela, S. C. Moore, Abhijit Dharchoudhury, Rajendran Panda, G. Vijayan, David Blaauw
    Library-less synthesis for static CMOS combinational logic circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:658-662 [Conf]
  99. Premal Buch, Amit Narayan, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli
    Logic synthesis for large pass transistor circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:663-670 [Conf]
  100. Jinan Lou, Amir H. Salek, Massoud Pedram
    An exact solution to simultaneous technology mapping and linear placement problem. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:671-675 [Conf]
  101. Sang-Hoon Lee, Chang-hoon Choi, Jeong-Taek Kong, Wong-Seong Lee, Jei-Hwan Yoo
    An efficient statistical analysis methodology and its application to high-density DRAMs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:678-683 [Conf]
  102. Vladimir Székely, Márta Rencz
    Fast field solver-programs for thermal and electrostatic analysis of microsystem elements. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:684-689 [Conf]
  103. Rachid Helaihel, Kunle Olukotun
    Java as a specification language for hardware-software systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:690-697 [Conf]
  104. Jeffrey Z. Su, Wayne Wei-Ming Dai
    Post-route optimization for improved yield using a rubber-band wiring model. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:700-706 [Conf]
  105. Maggie Zhiwei Kang, Wayne Wei-Ming Dai, Tom Dillinger, David P. LaPotin
    Delay bounded buffered tree construction for timing driven floorplanning. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:707-712 [Conf]
  106. Jason Cong, Cheng-Kok Koh
    Interconnect layout optimization under higher-order RLC model. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:713-720 [Conf]
  107. Sying-Jyan Wang, Tsi-Ming Tsai
    Test and diagnosis of fault logic blocks in FPGAs. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:722-727 [Conf]
  108. Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Alexander Saldanha, Alexander Taubin
    Partial scan delay fault testing of asynchronous circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:728-735 [Conf]
  109. Dimitrios Kagaris, Spyros Tragoudas
    Maximum independent sets on transitive graphs and their applications in testing and CAD. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:736-740 [Conf]
  110. Robert P. Kurshan, Vladimir Levin, Marius Minea, Doron Peled, Hüsnü Yenigün
    Verifying hardware in its software context. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:742-749 [Conf]
  111. Kenneth S. Kundert
    Simulation methods for RF integrated circuits. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1997, pp:752-765 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002