The SCEAS System
Navigation Menu

Conferences in DBLP

IEEE International Symposium on Circuits and Systems (ISCAS) (iscas)
2004 (conf/iscas/2004-5)

  1. Ryo Mukai, Hiroshi Sawada, Shoko Araki, Shoji Makino
    Frequency domain blind source separation using small and large spacing sensor pairs. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:1-4 [Conf]
  2. Milutin Stanacevic, Gert Cauwenberghs, Laurence Riddle
    Gradient flow bearing estimation with blind identification of multiple signals and interference. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:5-8 [Conf]
  3. Daniele Vigliano, Aurelio Uncini, Raffaele Parisi
    Nonlinear ICA solutions for convolutive mixing of PNL mixtures. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:9-12 [Conf]
  4. Masashi Ohata, Toshiharu Mukai, Kiyotoshi Matsuoka
    Blind separation with Gaussian mixture model for convolutively mixed sources. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:13-16 [Conf]
  5. Ziauddin M. Kamran, Thiagalingam Kirubarajan, Alex B. Gershman
    Blind estimation and equalization of time-varying channels using the interacting multiple model estimator. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:17-20 [Conf]
  6. Yajun Kou, Wu-Sheng Lu, Andreas Antoniou
    New algorithm for blind adaptive equalization based on constant modulus criterion. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:21-24 [Conf]
  7. Derong Liu, Sanquing Hu
    Sequential blind extraction of mixed source signals with guaranteed convergence. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:25-28 [Conf]
  8. Ke Deng, Qinye Yin, Ming Luo, Zheng Zhao
    A vertical layered space-time code and its blind symbol detection. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:29-32 [Conf]
  9. Khurram Waheed, Fathi M. Salem
    Blind source recovery for non-minimum phase surroundings. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:33-36 [Conf]
  10. Pando G. Georgiev, Andrzej Cichocki
    Sparse component analysis of overcomplete mixtures by improved basis pursuit method. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:37-40 [Conf]
  11. Yihai Zhang, T. Aaron Gulliver
    A dual mode decision feedback equalizer employing the conjugate gradient algorithm. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:41-44 [Conf]
  12. Yanxing Zeng, Qinye Yin, Le Ding, Ke Deng
    Blind uplink space-time channel estimation for space-time coded multicarrier code division multiple access systems. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:45-48 [Conf]
  13. Weizhou Su, Wei Xing Zheng
    A polynomial method for blind identification of MIMO channels. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:49-52 [Conf]
  14. Junaid A. Khan, Sadiq M. Sait
    Fast force-directed/simulated evolution hybrid for multiobjective VLSI cell placement. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:53-56 [Conf]
  15. Mongkol Ekpanyapong, Karthik Balakrishnan, Vidit Nanda, Sung Kyu Lim
    Simultaneous delay and power optimization in global placement. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:57-60 [Conf]
  16. Sheqin Dong, Zhong Yang, Xianlong Hong, Yuliang Wu
    Module placement based on quadratic programming and rectangle packing using less flexibility first principle. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:61-64 [Conf]
  17. Ling Zhang, Tong Jing, Xianlong Hong, Jingyu Xu, Jinjun Xiong, Lei He
    Performance and RLC crosstalk driven global routing. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:65-68 [Conf]
  18. Pun Hang Shiu, Ramprasad Ravichandran, Siddharth Easwar, Sung Kyu Lim
    Multi-layer floorplanning for reliable system-on-package. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:69-72 [Conf]
  19. Bo-Kyung Choi, Charles Chiang, Jamil Kawa, Majid Sarrafzadeh
    Routing resources consumption on M-arch and X-arch. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:73-76 [Conf]
  20. Lihong Zhang, Rabin Raut, Yingtao Jiang
    A placement algorithm for implementation of analog LSI/VLSI systems. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:77-80 [Conf]
  21. Changqi Yang, Xianlong Hong, Hannah Honghua Yang, Qiang Zhou, Yici Cai, Yongqiang Lu
    Recursively combine floorplan and Q-place in mixed mode placement based on circuit's variety of block configuration. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:81-84 [Conf]
  22. Bin Liu, Yici Cai, Qiang Zhou, Xianlong Hong
    Layer assignment algorithm for RLC crosstalk minimization. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:85-88 [Conf]
  23. Hailong Yao, Qiang Zhou, Xianlong Hong, Yici Cai
    Crosstalk driven routing resource assignment. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:89-92 [Conf]
  24. Jinho Park, David J. Allstot
    RF circuit synthesis using particle swarm optimization. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:93-96 [Conf]
  25. Jesús Ruiz-Amaya, José Manuel de la Rosa Utrera, Fernando Manuel Medeiro Hidalgo, Francisco V. Fernández, Rocio del Río, Maria Belen Pérez-Verdú, Ángel Rodríguez-Vázquez
    An optimization-based tool for the high-level synthesis of discrete-time and continuous-time /spl Sigma//spl Delta/ modulators in the Matlab/Simulink environment. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:97-100 [Conf]
  26. Lihong Zhang, Ulrich Kleine
    A novel analog layout synthesis tool. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:101-104 [Conf]
  27. Junjie Yang, Sheldon X.-D. Tan
    Behavioural modelling of analog circuits by dynamic semi-symbolic analysis. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:105-108 [Conf]
  28. Matt Francis, Vivek Chaudhary, H. Alan Mantooth
    Compact semiconductor device modelling using higher level methods. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:109-112 [Conf]
  29. Hamilton Klimach, Alfredo Arnaud, Márcio C. Schneider, Carlos Galup-Montoro
    Consistent model for drain current mismatch in MOSFETs using the carrier number fluctuation theory. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:113-116 [Conf]
  30. Alessandro Savio, Luigi Colalongo, Zsolt Miklós Kovács-Vajna, Michele Quarantelli
    Scaling rules and parameter tuning procedure for analog design reuse in technology migration. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:117-120 [Conf]
  31. Natalie Nakhla, Anestis Dounavis, Ramachandra Achar, Michel S. Nakhla
    Fast sensitivity analysis of transmission line networks. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:121-124 [Conf]
  32. Hui Zhang, Alex Doboli
    Fast time-domain symbolic simulation for synthesis of sigma-delta analog-digital converters. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:125-128 [Conf]
  33. Junjie Yang, Sheldon X.-D. Tan
    An efficient algorithm for transient and distortion analysis of mildly nonlinear analog circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:129-132 [Conf]
  34. Bogdan J. Falkowski, Cicilia C. Lozano, Susanto Rahardja
    Generation of disjoint cubes for multiple-valued functions. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:133-136 [Conf]
  35. Chi-Wei Hu, TingTing Hwang
    Output-pattern directed decomposition for low power design. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:137-140 [Conf]
  36. Hongtu Jiang, Viktor Öwall
    FPGA implementation of controller-datapath pair in custom image processor design. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:141-144 [Conf]
  37. Yi-Ching Au, Chi-Ying Tsui
    Least leakage vector assisted technology mapping for total power optimization. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:145-148 [Conf]
  38. Ajit Sharma, Chenggang Xu, Wen Kung Chu, Nishath K. Verghese, Terri S. Fiez, Kartikeya Mayaram
    A predictive methodology for accurate substrate parasitic extraction. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:149-152 [Conf]
  39. Ken-ichi Okada, Hiroaki Hoshino, Hidetoshi Onodera
    Modelling and optimization of on-chip spiral inductor in S-parameter domain. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:153-156 [Conf]
  40. Robert Shreeve, Terri S. Fiez, Kartikeya Mayaram
    A physical and analytical model for substrate noise coupling analysis. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:157-160 [Conf]
  41. Chenggang Xu, Terri S. Fiez, Kartikeya Mayaram
    An improved Z-parameter macro model for substrate noise coupling. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:161-164 [Conf]
  42. Zhao Li, C.-J. Richard Shi
    A coupled iterative/direct method for efficient time-domain simulation of nonlinear circuits with power/ground networks. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:165-168 [Conf]
  43. João M. S. Silva, Luís M. Silveira
    Multigrid-based substrate coupling model extraction. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:169-173 [Conf]
  44. Weikun Guo, Sheldon X.-D. Tan, Zuying Luo, Xianlong Hong
    Partial random walk for large linear network analysis. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:173-177 [Conf]
  45. Janet Meiling Wang, Omar Hafiz
    Matrix pencil based realizable reduction for distributed interconnects. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:177-180 [Conf]
  46. Nisar Ahmed, Mohammad H. Tehranipour, Dian Zhou, Mehrdad Nourani
    Frequency driven repeater insertion for deep submicron. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:181-184 [Conf]
  47. Ilhan Hatirnaz, Yusuf Leblebici
    Modelling and implementation of twisted differential on-chip interconnects for crosstalk noise reduction. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:185-188 [Conf]
  48. Alexandre Verle, Xavier Michel, Philippe Maurine, Nadine Azémard, Daniel Auvergne
    Delay bound based CMOS gate sizing technique. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:189-192 [Conf]
  49. Jorge Aguila-Meza, Leticia Torres-Papaqui, Esteban Tlelo-Cuautle
    Improving symbolic analysis in CMOS analog integrated circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:193-196 [Conf]
  50. Naofumi Homma, Jun Sakiyama, Taihei Wakamatsu, Takafumi Aoki, Tatsuo Higuchi
    A systematic approach for analyzing fast addition algorithms using counter tree diagrams. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:197-200 [Conf]
  51. Fei Xu, Chip-Hong Chang, Ching-Chuen Jong
    HWP: a new insight into canonical signed digit. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:201-204 [Conf]
  52. Ching-Chung Hu, De-Sheng Chen, Yi-Wen Wang
    Fast multilevel floorplanning for large scale modules. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:205-208 [Conf]
  53. Pushkin R. Pari, Lin Yuan, Gang Qu
    How many solutions does a SAT instance have? [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:209-212 [Conf]
  54. Miroslav N. Velev
    A new generation of ISCAS benchmarks from formal verification of high-level microprocessors. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:213-216 [Conf]
  55. Rouying Zhan, Haigang Feng, Haolu Xie, Albert Z. Wang
    ESDInspector: a new layout-level ESD protection circuitry design verification tool using a smart-parametric checking mechanism. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:217-220 [Conf]
  56. Andreas G. Veneris, Robert Chang, Magdy S. Abadir, Mandana Amiri
    Fault equivalence and diagnostic test generation using ATPG. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:221-224 [Conf]
  57. Chuen-Yau Chen, An-Chi Hsu
    A hybrid-type test pattern generating mechanism. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:225-228 [Conf]
  58. Thomas Eschbach, Rolf Dreschler, Bernd Becker
    Placement and routing optimization for circuits derived from BDDs. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:229-232 [Conf]
  59. Haibo Long, Zhenghe Feng, Haigang Feng, Albert Z. Wang, Tianling Ren
    L-simulator: a magPEEC-based new CAD tool for simulating magnetic-enhanced IC inductors of 3D arbitrary geometry. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:233-237 [Conf]
  60. Yi-Wei Lin, Jing-Yang Jou
    An efficient approach for hierarchical submodule extraction. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:237-240 [Conf]
  61. Yang Wang, Yici Cai, Xianlong Hong, Qiang Zhou
    Algorithm for yield driven correction of layout. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:241-245 [Conf]
  62. Carlos Sánchez-López, Esteban Tlelo-Cuautle
    Symbolic noise analysis in analog integrated circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:245-248 [Conf]
  63. Lily Huang, Tai-Ying Jiang, Jing-Yang Jou, Heng-Liang Huang
    An efficient logic extraction algorithm using partitioning and circuit encoding. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:249-252 [Conf]
  64. Giorgio Casinovi, Giuseppe M. Veca
    Frequency-domain error analysis of linear multistep methods. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:253-256 [Conf]
  65. Shekhar Kopuri, Nazanin Mansouri
    Enhancing scheduling solutions through ant colony optimization. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:257-260 [Conf]
  66. Ling Wang, Yingtao Jiang, Henry Selvaraj
    Synthesis scheme for low power designs with multiple supply voltages by tabu search. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:261-264 [Conf]
  67. Sean E. Krakiwsky, Laurence E. Turner, Michal M. Okoniewski
    Graphics processor unit (GPU) acceleration of finite-difference time-domain (FDTD) algorithm. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:265-268 [Conf]
  68. Lakshmi Kalpana Vakati, Janet Meiling Wang
    A new multi-ramp driver model with RLC interconnect load. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:269-272 [Conf]
  69. Gülin Tulunay, Sina Balkir
    A compact optimization methodology for single-ended LNA. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:273-276 [Conf]
  70. Rodrigo L. Oliveira Pinto, Franco Maloberti
    X ray and blue print: tools for MOSFET analog circuit design addressing short-channel effects. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:277-280 [Conf]
  71. Hessa Aljunaid, Tom J. Kazmierski
    SEAMS - a SystemC environment with analog and mixed-signal extensions. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:281-284 [Conf]
  72. Bogdan J. Falkowski, Cheng Fu
    Properties of fastest linearly independent transforms over GF(3). [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:285-289 [Conf]
  73. Cheng Fu, Bogdan J. Falkowski
    Multi-polarity helix transform over GF(3). [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:289-292 [Conf]
  74. Kai-Yuan Jheng, Shyh-Jye Jou, An-Yeu Wu
    A design flow for multiplierless linear-phase FIR filters: from system specification to Verilog code. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:293-296 [Conf]
  75. Xin Zhao, Yici Cai, Qiang Zhou, Xianlong Hong, Lei He, Jinjun Xiong
    Shielding area optimization under the solution of interconnect crosstalk. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:297-300 [Conf]
  76. Rajani Parthasarthy, Ivan S. Kourtev
    Performance metrics for asynchronous digital circuits applicable to computer-aided design. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:301-304 [Conf]
  77. Yoichi Yuyama, Masao Aramoto, Kazutoshi Kobayashi, Hidetoshi Onodera
    RTL/ISS co-modeling methodology for embedded processor using SystemC. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:305-308 [Conf]
  78. Ralph Marczynski, Mitchell A. Thornton, Stephen A. Szygenda
    Test vector generation and classification using FSM traversals. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:309-312 [Conf]
  79. Jounaïdi Ben Hassen, Sofiène Tahar
    Formal verification of an SoC platform protocol converter. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:313-316 [Conf]
  80. Mohamed N. Wageeh, Ayman M. Wahba, Ashraf M. Salem, Mohamed A. Sheirah
    FPGA based accelerator for functional simulation. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:317-320 [Conf]
  81. Jian Wang, Xuan Zeng, Wei Cai, Charles Chiang, Jiarong Tong, Dian Zhou
    Frequency domain wavelet method with GMRES for large-scale linear circuit simulation. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:321-324 [Conf]
  82. Ali Abbasian, Safar Hatami, Ali Afzali-Kusha, Mehrdad Nourani, Carco Lucas
    Event-driven dynamic power management based on wavelet forecasting theory. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:325-328 [Conf]
  83. Chikaaki Kodama, Kunihiro Fujiyoshi, Teppei Koga
    A novel encoding method into sequence-pair. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:329-332 [Conf]
  84. Praveen Pai, Emad Gad, Ramachandra Achar, Roni Khazaka, Michel S. Nakhla
    Computing large-change sensitivity of periodic responses of nonlinear circuits using reduction techniques. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:333-336 [Conf]
  85. Meng Zhao, Xinjie Wei, Yici Cai, Xianlong Hong
    Quick and effective buffered legitimate skew clock routing. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:337-340 [Conf]
  86. Xuliang Zhang, Yoji Kajitani
    Theory of T-junction floorplans in terms of single-sequence. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:341-344 [Conf]
  87. Tao Wan, Malgorzata Chrzanowska-Jeske
    Generating random benchmark circuits for floorplanning. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:345-348 [Conf]
  88. Francesco Tenore, Ralph Etienne-Cummings, M. Anthony Lewis
    A programmable array of silicon neurons for the control of legged locomotion. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:349-352 [Conf]
  89. Dazhi Wei, John G. Harris
    Signal reconstruction from spiking neuron models. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:353-356 [Conf]
  90. Elisabetta Chicca, Giacomo Indiveri, Rodney J. Douglas
    An event-based VLSI network of integrate-and-fire neurons. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:357-60 [Conf]
  91. Jens Petter Abrahamsen, Philipp Häfliger, Tor Sverre Lande
    A time domain winner-take-all network of integrate-and-fire neurons. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:361-364 [Conf]
  92. Anuj Batra, Jaiganesh Balakrishnan, Anand Dabak
    Multi-band OFDM: a new approach for UWB. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:365-368 [Conf]
  93. Ebrahim Saberinia, Jun Tang, Ahmed H. Tewfik, Keshab K. Parhi
    Pulsed OFDM modulation for ultra wideband communications. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:369-392 [Conf]
  94. Seung Young Park, Gadi Shor, Yong Suk Kim
    Interference resilient transmission scheme for multiband OFDM system in UWB channels. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:373-376 [Conf]
  95. Jun Tang, Ahmed H. Tewfik, Keshab K. Parhi
    High performance solution for interfering UWB piconets with reduced complexity sphere decoding. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:377-380 [Conf]
  96. Irena Maravic, Martin Vetterli, Kannan Ramchandran
    Channel estimation and synchronization with sub-Nyquist sampling and application to ultra-wideband systems. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:381-384 [Conf]
  97. R. Jacob Vogelstein, Udayan Mallik, Gert Cauwenberghs
    Silicon spike-based synaptic array and address-event transceiver. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:385-388 [Conf]
  98. Ausra Saudargiene, Bernd Porr, Florentin Wörgötter
    Biologically inspired artificial neural network algorithm which implements local learning rules. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:389-392 [Conf]
  99. Håvard Kolle Riis, Philipp Häfliger
    Spike based learning with weak multi-level static memory. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:393-396 [Conf]
  100. Shih-Chii Liu, Rodney J. Douglas
    Spike synchronization in a network of silicon integrate-and-fire neurons. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:397-400 [Conf]
  101. Natasha Chia, Steve Collins
    A spike-based analogue circuit that emphasises in auditory stimuli. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:401-404 [Conf]
  102. Palghat P. Vaidyanathan, Bojan Vrcelj
    Transmultiplexers as precoders in modern digital communication: a tutorial review. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:405-412 [Conf]
  103. Cássio B. Ribeiro, Marcello L. R. de Campos, Paulo S. R. Diniz
    Zero-forcing equalization for time-varying systems with memory. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:413-416 [Conf]
  104. Wei Zhang, Xiang-Gen Xia, Pak-Chung Ching
    On pilot pattern design for PSAM-OFDM system. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:417-420 [Conf]
  105. Soura Dasgupta, Ashish Pandharipande
    Complete characterization of channel independent general DMT systems with cyclic prefix. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:421-424 [Conf]
  106. See-May Phoong, Kai-Yen Chang, Yuan-Pei Lin
    Antipodal paraunitary precoding for OFDM application. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:425-428 [Conf]
  107. Kaoru Arakawa
    Nonlinear digital filters for beautifying facial images in multimedia systems. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:429-432 [Conf]
  108. Tsung Han Tsai, Yu Xuan Lee, Yu Fong Lin
    Video error concealment techniques using progressive interpolation and boundary matching algorithm. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:433-436 [Conf]
  109. Kazuhiro Shimauchi, Masahiro Ogawa, Akira Taguchi
    JPEG based image compression with adaptive resolution conversion system. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:437-440 [Conf]
  110. C. S. Tan, D. M. Tan, H. R. Wu
    Perceptual coding of digital colour images based on a vision model. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:441-444 [Conf]
  111. Naoto Sasaoka, Yoshio Itoh, Kensaku Fujii, Yutaka Fukui
    Smart noise reduction system based on ALE and noise reconstruction system. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:445-448 [Conf]
  112. Piotr Dudek
    A 39/spl times/48 general-purpose focal-plane processor array integrated circuit. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:448-452 [Conf]
  113. Sebastien Moutault, Hervé Mathias, Jacques-Olivier Klein, Antoine Dupret
    An improved analog computation cell for Paris II, a programmable vision chip. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:453-456 [Conf]
  114. Ricardo Carmona, Carlos M. Domínguez-Matas, Jorge Cuadri, Francisco Jiménez-Garrido, Ángel Rodríguez-Vázquez
    A CNN-driven locally adaptive CMOS image sensor. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:457-460 [Conf]
  115. Asko Kananen, Mika Laiho, Kari Halonen, Ari Paasio
    N /spl times/ 16 cellular test chips for low-pass filtering large images. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:461-464 [Conf]
  116. Victor M. Brea, David López Vilariño, Diego Cabello
    A mixed-signal CMOS DTCNN chip for pixel-level snakes. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:465-468 [Conf]
  117. Inas Khalifa, Ljiljana Trajkovic
    An overview and comparison of analytical TCP models. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:469-472 [Conf]
  118. Gianluca Mazzini, Riccardo Rovatti, Gianluca Setti
    Self-similarity in max/average aggregated processes. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:473-476 [Conf]
  119. David K. Arrowsmith, Matthew Woolf
    Modelling of TCP packet traffic in a large interactive growth network. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:477-480 [Conf]
  120. Hung Xuan Nguyen, Patrick Thiran, Chadi Barakat
    On the correlation of TCP traffic in backbone networks. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:481-484 [Conf]
  121. Sabato Manfredi, Franco Garofalo, Mario di Bernardo
    A robust approach to active queue management control in networks. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:485-488 [Conf]
  122. Marco Gilli, Fernando Corinto
    On dynamic behavior of weakly connected cellular neural networks. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:489-492 [Conf]
  123. Timothy G. Constandinou, Julius Georgiou, Chris Toumazou
    Towards a bio-inspired mixed-signal retinal processor. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:493-496 [Conf]
  124. Daryl R. Kipke
    Brain-machine interfaces using thin-film silicon microelectrode arrays. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:497-499 [Conf]
  125. Paolo Arena, Luigi Fortuna, Adriano Basile, Mattia Frasca
    CNN wave based computation for robot navigation planning. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:500-503 [Conf]
  126. Christian Merkwirth, Jochen Bröcker, Maciej Ogorzalek, Jörg D. Wichard
    Finite iteration DT-CNN - new design and operating principles. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:504-507 [Conf]
  127. Ethan Crain, Michael H. Perrott
    A numerical design approach for high speed, differential, resistor-loaded, CMOS amplifiers. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:508-511 [Conf]
  128. Makram M. Mansour, Amit Mehrotra, William W. Walker, Amit Narayan
    Analysis techniques for obtaining the steady-state solution of MOS LC oscillators. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:512-515 [Conf]
  129. Zhe Wang, Rajeev Murgai, Jaijeet S. Roychowdhury
    Macromodeling of digital libraries for substrate noise analysis. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:516-519 [Conf]
  130. Suihua Lu, Amit Narayan, Amit Mehrotra
    Continuation method in multitone harmonic balance. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:520-523 [Conf]
  131. Chinh H. Doan, Sohrab Emami, Ali M. Niknejad, Robert W. Brodersen
    Millimeter-wave CMOS device modeling and simulation. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:524-527 [Conf]
  132. Wu-Sheng Lu, Takao Hinamoto
    Improved design of frequency-response-masking filters using enhanced sequential quadratic programming. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:528-531 [Conf]
  133. Ya Jun Yu, Yong Ching Lim, Kok Lay Teo, Guohui Zhao
    Frequency-response-masking technique incorporating extrapolated impulse response band-edge shaping filter. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:532-535 [Conf]
  134. Jianghong Yu, Yong Lian
    Frequency-response masking based filters with the even-length bandedge shaping filter. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:536-539 [Conf]
  135. Juha Yli-Kaakinen, Tapio Saramäki, Ya Jun Yu
    An efficient algorithm for the optimization of FIR filters synthesized using the multistage frequency-response masking approach. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:540-543 [Conf]
  136. Danilo B. Graziosi, Cristiano Nogueira dos Santos, Sergio L. Netto, Luiz W. P. Biscainho
    A constant-Q spectral transformation with improved frequency response. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:544-547 [Conf]
  137. Tingting Song, Nianci Huang, Adrian Ioinovici
    A zero-voltage and zero-current switching three-level DC-DC converter with secondary-assisted regenerative passive snubber. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:548-551 [Conf]
  138. Gerard Villar, Eduard Alarcón, Herminio Martínez, Eva Vidal, Francesc Guinjoan, Sonia Porta, Alberto Poveda
    Hysteric controller for CMOS on-chip switching power converters. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:552-555 [Conf]
  139. Kelvin Ka Sing Leung, Henry Shu-Hung Chung
    State trajectory prediction control for boost converters. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:556-559 [Conf]
  140. Tadashi Suetsugu, Marian K. Kazimierczuk
    Design equations for sub-optimum operation of class-E amplifier with nonlinear shunt capacitance. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:560-563 [Conf]
  141. Bruno Estibals, Corinne Alonso, Alain Salles, Angel Cid-Pastor, Henri Camon, Luis Martinez-Salamero
    Toward the integration of microsystems supply. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:564-567 [Conf]
  142. Dahua Xie, C. C. Jay Kuo
    Enhanced multiple Huffman table (MHT) encryption scheme using key hopping. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:568-571 [Conf]
  143. Wei-Qi Yan, Duo Jin, Mohan S. Kankanhalli
    Visual cryptography for print and scan applications. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:572-575 [Conf]
  144. Chandramouli Rajarathnam
    Web search steganalysis: some challenges and approaches. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:576-579 [Conf]
  145. Jeng-Shyang Pan, Min-Tsang Sung, Hsiang-Cheh Huang, Bin-Yih Liao
    Robust VQ-based digital watermarking for memoryless binary symmetric channel. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:580-583 [Conf]
  146. Yongjian Hu, Sam Kwong, Jiwu Huang
    Using invisible watermarks to protect visibly watermarked images. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:584-587 [Conf]
  147. Alyssa B. Apsel, Zhongtao Fu
    A 2.5 milliwatt SOS CMOS receiver for optical interconnect. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:588-591 [Conf]
  148. Donald M. Chiarulli, Steven P. Levitan, Jason D. Bakos, Charlie Kuznia
    Active substrates for optoelectronic interconnect. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:592-595 [Conf]
  149. Amit Lal, Hui Li, Hang Guo
    Integrated radioactive thin films for sensing systems. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:596-599 [Conf]
  150. Alexander Belenky, Alexander Fish, Shy Hamami, Vadim Milrud, Orly Yadid-Pecht
    Widening the dynamic range of the readout integration circuit for uncooled microbolometer infrared sensors. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:600-603 [Conf]
  151. Eugenio Culurciello, Andreas G. Andreou
    A 16 /spl times/ 16 pixel silicon on sapphire CMOS photosensor array with a digital interface for adaptive wavefront correction. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:604-607 [Conf]
  152. Tõnu Trump
    Compensation for clock skew in voice over packet networks by speech interpolation. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:608-611 [Conf]
  153. Arthur L. Swanson, Ravi P. Ramachandran, Steven H. Chin
    Fast adaptive component weighted cepstrum pole filtering for speaker identification. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:612-615 [Conf]
  154. Marc A. Boillot, John G. Harris
    A loudness enhancement technique for speech. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:616-618 [Conf]
  155. Robert E. Yantorno, Brett Y. Smolenski, Ananth N. Iyer, Jashmin K. Shah
    Usable speech detection using a context dependent Gaussian mixture model classifier. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:619-623 [Conf]
  156. David B. Bjornberg, Sedig Agili, Aldo Morales
    Decomposition and recognition of a multi-channel audio source using matching pursuit algorithm. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:624-627 [Conf]
  157. Gabriel Popescu, Leonid B. Goldgeisser
    Mixed signal aspects of behavioral modeling and simulation. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:628-631 [Conf]
  158. Mark Zwolinski, Andrew D. Brown
    Behavioural modelling of analogue faults in VHDL-AMS - a case study. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:632-635 [Conf]
  159. Ken G. Ruan
    A new model architecture for customer software integration. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:636-639 [Conf]
  160. Martin Vlach
    Programming interface requirements for an AMS simulator. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:640-643 [Conf]
  161. Peter R. Wilson, J. Neil Ross, Andrew D. Brown, Andrew J. Rushton
    Multiple domain behavioral modeling using VHDL-AMS. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:644-647 [Conf]
  162. Tohru Kohda
    Statistical properties of chaotic sequences generated by Jacobian elliptic Chebyshev rational maps. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:648-651 [Conf]
  163. Anthony J. Lawrance, Gan Ohama
    Outage in chaos communication. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:652-655 [Conf]
  164. Joerg Krupar, Andreas Mögel, Wolfgang M. Schwarz
    Analysis of hybrid systems by means of embedded return maps. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:656-659 [Conf]
  165. Wolfgang Mathis
    Andronov-Hopf bifurcation of sinusoidal oscillators under the influence of noise. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:660-663 [Conf]
  166. Ruedi Stoop, Norbert Stoop
    Computation by natural systems defined. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:664-667 [Conf]
  167. Shoji Makino, Shoko Araki, Ryo Mukai, Hiroshi Sawada
    Audio source separation based on independent component analysis. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:668-671 [Conf]
  168. Laurent Albera, Anne Ferréol, Pascal Chevalier, Pierre Comon
    ICAR: independent component analysis using redundancies. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:672-675 [Conf]
  169. Shawn P. Neugebauer, Zhi Ding
    Blind SIMO channel estimation for CPM using the Laurent approximation. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:676-679 [Conf]
  170. Kiyotaka Kohno, Yujiro Inouye, Mitsuru Kawamoto, Tetsuya Okamoto
    Adaptive super-exponential algorithms for blind deconvolution of MIMO systems. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:680-683 [Conf]
  171. Andrzej Cichocki, Yuanqing Li, Pando G. Georgiev, Shun-ichi Amari
    Beyond ICA: robust sparse signal representations. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:684-687 [Conf]
  172. Tian-Tsong Ng, Shih-Fu Chang, Qibin Sun
    Blind detection of photomontage using higher order statistics. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:688-691 [Conf]
  173. Huijuan Yang, Alex C. Kot
    Data hiding for bi-level documents using smoothing technique. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:692-695 [Conf]
  174. Hyoung Joong Kim, TaeHoon Kim, In-Kwon Yeo
    A robust audio watermarking scheme. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:696-699 [Conf]
  175. Anthony T. S. Ho, Xunzhan Zhu, Yong Liang Guan, Pina Marziliano
    Slant transform watermarking for textured images. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:700-703 [Conf]
  176. Hui Cheng
    A review of video registration methods for watermark detection in digital cinema applications. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:704-707 [Conf]
  177. Lauri Koskinen, Ari Paasio, Kari Halonen
    3-neighborhood motion estimation in CNN silicon architectures. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:708-711 [Conf]
  178. Shaoquan Wu, Jiwu Huang, Daren Huang, Yun Q. Shi
    Self-synchronized audio watermark in DWT domain. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:712-715 [Conf]
  179. Dajun He, Zhiyong Huang, Ruihua Ma, Qibin Sun
    Feature difference analysis in video authentication system. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:716-719 [Conf]
  180. Frank Gollas, Christian Niederhöfer, Ronald Tetzlaff
    Prediction of brain electrical activity in epilepsy using a higher-dimensional prediction algorithm for discrete time cellular neural networks (DTCNN). [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:720-723 [Conf]
  181. Chin-Teng Lin, Chang-Moun Yeh, Chun-Fei Hsu
    Fuzzy neural network classification design using support vector machine. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:724-727 [Conf]
  182. Norikazu Takahashi, Tetsuo Nishi
    Global convergence analysis of decomposition methods for support vector machines. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:728-731 [Conf]
  183. Pengfei Xu, Chip-Hong Chang
    Self-organizing topological tree. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:732-735 [Conf]
  184. José Gabriel R. C. Gomes, Sanjit K. Mitra
    Sensitivity analysis of low-complexity vector quantizers for focal-plane image compression. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:736-739 [Conf]
  185. W. P. Tang, H. K. Kwan
    Chaotic communications using nonlinear transform-pairs. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:740-743 [Conf]
  186. Bernabé Linares-Barranco, Teresa Serrano-Gotarredona, Rafael Serrano-Gotarredona, Jesús Costas-Santos
    A new charge-packet driven mismatch-calibrated integrate-and-fire neuron for processing positive and negative signals in AER based systems. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:744-747 [Conf]
  187. Katherine L. Cameron, Alan F. Murray
    Can spike timing dependent plasticity compensate for process mismatch in neuromorphic analogue VLSI? [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:748-751 [Conf]
  188. Ryan J. Kier, Reid R. Harrison, Randall D. Beer
    An MDAC synapse for analog neural networks. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:752-755 [Conf]
  189. Jeff Dugger, Paul E. Hasler
    Supervised learning in a two-input analog floating-gate node. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:756-759 [Conf]
  190. Abdullah Celik, Milutin Stanacevic, Gert Cauwenberghs
    Mixed-signal real-time adaptive blind source separation. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:760-763 [Conf]
  191. Hazem M. El-Bakry, Herbert Stoyan
    Fast neural networks for sub-matrix (object/face) detection. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:764-767 [Conf]
  192. Ernst M. Kussul, Tatiana Baidyk, Maksym Kussul
    Neural network system for face recognition. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:768-771 [Conf]
  193. Paolo Gastaldo, Rodolfo Zunino
    No-reference quality assessment of JPEG images by using CBP neural networks. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:772-775 [Conf]
  194. Basilio Esposito, Luigi Fortuna, Alessandro Rizzo
    A neural system for radiation discrimination in nuclear fusion applications. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:776-779 [Conf]
  195. Stéphane Badel, Alexandre Schmid, Yusuf Leblebici
    Mixed analog-digital image processing circuit based on Hamming artificial neural network architecture. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:780-783 [Conf]
  196. Alejandro Linares-Barranco, Gabriel Jiménez-Moreno, Antón Civit-Balcells, Bernabé Linares-Barranco
    On synthetic AER generation. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:784-787 [Conf]
  197. Dongming Xu, José Carlos Príncipe, John G. Harris
    Logic computation using coupled neural oscillators. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:788-791 [Conf]
  198. Heejong Yoo, David Graham, David V. Anderson, Paul E. Hasler
    C4 band-pass delay filter for continuous-time subband adaptive tapped-delay filter. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:792-795 [Conf]
  199. Mohammad Abdeen, Mustapha Chérif-Eddine Yagoub
    Neural modelling of the large-signal drain current of the dual-gate MESFET with DC and pulsed I-V measurements. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:796-799 [Conf]
  200. Rafic A. Ayoubi, Haissam Ziade, Magdy A. Bayoumi
    Hopfield associative memory on mesh. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:800-803 [Conf]
  201. Chip-Hong Chang, Pengfei Xu
    Frequency sensitive self-organizing maps and its application in color quantization. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:804-807 [Conf]
  202. Christian Borgelt, Daniela Girimonte, Giuseppe Acciani
    Learning vector quantization: cluster size and cluster number. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:808-811 [Conf]
  203. Juan-juan Gu, Liang Tao, H. K. Kwan
    Fast learning algorithms for new L2 SVM based on active set iteration method. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:812-815 [Conf]
  204. Hamid Movahedian, Mehrdad Sharif Bakhtiar
    Design and sensitivity analysis of feed-forward neural ADC's. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:816-819 [Conf]
  205. Tolga Ensari, Sabri Arik, Vedat Tavsanoglu
    Global asymptotic stability of a class of neural networks with time varying delays. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:820-823 [Conf]
  206. Chi Yat Leung, Philip K. T. Mok, Ka Nang Leung
    A 1.2V buck converter with a novel on-chip low-voltage current-sensing scheme. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:824-827 [Conf]
  207. Hylas Y. H. Lam, Wing-Hung Ki, Dongsheng Ma
    Loop gain analysis and development of high-speed high-accuracy current sensors for switching converters. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:828-831 [Conf]
  208. Mark Hooper, Matt Kucic, Paul E. Hasler
    5V-only, standard 0.5/spl mu/m CMOS programmable and adaptive floating-gate circuits and arrays using CMOS charge pumps. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:832-835 [Conf]
  209. Andrabadu K. P. Viraj, Gehan Amarathunga
    Analysis of switched capacitor DC-DC step down converter. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:836-839 [Conf]
  210. Ming Zhang, Nicolas Llaser
    Optimization design of the Dickson charge pump circuit with a resistive load. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:840-843 [Conf]
  211. Chi K. Michael Tse, Siu Chung Wong, K. C. Tam
    Novel D/sup 2/T control for single-switch dual-output switching power converters. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:844-847 [Conf]
  212. Spartacus Gomaríz, Eduard Alarcón, Francisco Guinjoan, Enric Vidal-Idiarte, Luis Martinez-Salamero, Domingo Biel
    TSK-fuzzy controller design for a PWM boost DC-DC switching regulator operating at different steady state output voltages. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:848-851 [Conf]
  213. Siu Chung Wong, Chi K. Michael Tse, K. C. Tam
    Spurious modulation on current-mode controlled DC/DC converters: an explanation for intermittent chaotic operation. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:852-855 [Conf]
  214. Brad Bryant, Marian K. Kazimierczuk
    Small-signal duty cycle to inductor current transfer function for boost PWM DC-DC converter in continuous conduction mode. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:856-859 [Conf]
  215. Brad Bryant, Marian K. Kazimierczuk
    Sample and hold effect in PWM DC-DC converters with peak current-mode control. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:860-863 [Conf]
  216. Y. C. Julian Chiu, Henry Shu-Hung Chung, Ricky Lau, Bin Zhou
    The implementation of a transient DC-link boost based digital amplifier for eliminating pulse-dropping distortion. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:864-867 [Conf]
  217. Hirotaka Koizumi, Kosuke Kurokawa, Shinsaku Mori
    Analysis of class D inverter with irregular driving patterns. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:868-871 [Conf]
  218. Antonio Zorzano Martínez, Fernando Beltrán Blázquez, José Ramón Beltrán Blázquez
    A new topology for a sigma-delta audio power amplifier. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:872-875 [Conf]
  219. Bin Zhou, Y. C. Julian Chiu, Ricky Lau, Henry Shu-Hung Chung
    Spectral analysis of a novel transient dynamic boost PWM inverter control for power amplifiers. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:876-879 [Conf]
  220. Subbaraya Yuvarajan, Dachuan Yu
    Characteristics and modelling of PEM fuel cells. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:880-883 [Conf]
  221. Boris Axelrod, Yefim Berkovich, Adrian Ioinovici
    A boost-switched capacitor-inverter with a multilevel waveform. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:884-887 [Conf]
  222. Bor-Ren Lin, Tsung-Yu Yang, Yung-Chuan Lee
    Novel multilevel converter for power factor correction. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:888-891 [Conf]
  223. Bin Zhou, Wing Hong Lau, Henry Shu-Hung Chung
    A compact generalized solution to the determination of spectral components for multilevel uniformly sampled PWM. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:892-895 [Conf]
  224. Wonseok Lim, Byungcho Choi, Jiemyung Ko
    Current-mode control to enhance closed-loop performance of asymmetrical half-bridge dc-to-dc converters. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:896-899 [Conf]
  225. Sean Nicolson, Khoman Phang
    Step-up versus step-down DC/DC converters for RF-powered systems. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:900-903 [Conf]
  226. Hanoch Lev-Ari, Alex M. Stankovic
    Hilbert space techniques for reactive power compensation with limited current bandwidth. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:904-907 [Conf]
  227. Yongqiang Liu, Zheng Yan, Yixin Ni, Felix F. Wu
    A geometrical study on voltage collapse mechanisms of power systems. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:908-911 [Conf]
  228. Ian Dobson, Benjamin A. Carreras, David E. Newman
    Probabilistic load-dependent cascading failure with limited component interactions. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:912-915 [Conf]
  229. Jie Wan, Karen Nan Miu
    Meter placement for load estimation in radial power distribution systems. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:916-919 [Conf]
  230. Garng M. Huang, Jiansheng Lei
    A topological measurements and RTUs design against a contingency. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:920-923 [Conf]
  231. Bor-Ren Lin, Chun-Hao Huang
    Single-phase capacitor clamped inverter with simple structure. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:924-927 [Conf]
  232. Shek-Wai Ng, Yim-Shu Lee
    A generalized averaging model for fixed frequency converters. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:928-931 [Conf]
  233. Kingsley C. Umeh, Azah Mohamed, Ramizi Mohamed, Aini Hussain
    Characterizing nonlinear load harmonics using fractal analysis. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:932-935 [Conf]
  234. Hiroshi Shimazu, Toshimichi Saito
    Analysis of nonlinear dynamics in delta modulators for PWM control. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:936-939 [Conf]
  235. Dongsheng Ma, Vincent H. S. Tam, Wing-Hung Ki, Hylas Y. H. Lam
    A CAD simulator based on loop gain measurement for switching converters. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:940-943 [Conf]
  236. Dusan Gleich, Miro Milanovic, Suzana Uran, Franc Mihalic
    Digitally controlled buck converter. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:944-947 [Conf]
  237. Pui-In Mak, Man-Chung Wong, Seng-Pan U.
    A 3D PWM control, H-bridge tri-level inverter for power quality compensation in three-phase four-wired systems. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:948-951 [Conf]
  238. Juri Jatskevich, Tarek Aboul-Seoud
    Automated state-variable formulation for power electronic circuits and systems. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:952-955 [Conf]
  239. Claudio Rivetta, Geoffrey A. Williamson
    Global behaviour analysis of a DC-DC boost power converter operating with constant power load. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:956-959 [Conf]
  240. Bor-Ren Lin, Tsung-Yu Yang
    Single-phase three-level converter for power factor correction. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:960-963 [Conf]
  241. Mark Hooper, Matt Kucic, Paul E. Hasler
    Characterization of charge-pump rectifiers for standard submicron CMOS processes. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:964-967 [Conf]
  242. Apinan Aurasopon, Pinit Kumhom, Kosin Chamnongthai
    Suppression of harmonic spikes in asynchronous sigma delta modulation by randomizing hysteresis window. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:968-971 [Conf]
  243. Jun Zhang, Renjie Ding, Haitao Song
    A new reliable supplied gate drive circuit for SCRs with breakover diodes for protection. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:972-975 [Conf]
  244. Hanoch Lev-Ari, Kevin Xu, Milun Perisic, Alex M. Stankovic
    Hilbert space techniques for evaluating trade-offs in reactive power compensation. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:976-979 [Conf]
  245. Pavlos S. Georgilakis, John A. Katsigiannis, Kimon P. Valavanis
    Petri net based transformer fault diagnosis. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:980-983 [Conf]
  246. Rubén Salas-Cabrera, Claudio A. Cañizares
    Equilibrium analysis of voltage-fed field oriented controlled induction motors. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:984-987 [Conf]
  247. Jiwu Duan, Dariusz Czarkowski, Zivan Zabar
    Neural network approach for estimation of load composition. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:988-991 [Conf]
  248. Vincent Auvray, Ian Dobson, Louis Wehenkel
    Modifying eigenvalue interactions near weak resonance. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2004, pp:992-995 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002