The SCEAS System
Navigation Menu

Conferences in DBLP

IEEE International Symposium on Circuits and Systems (ISCAS) (iscas)
1994 (conf/iscas/1994-1)

  1. Jai-Cheol Lee, Yu Hen Hu
    EDLICS: A New Relaxation-Based Electrical Circuit Simulation Technique. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:1-4 [Conf]
  2. Takeshi Senoo, Hiroaki Makino, Hideki Asai
    Relaxation-Based Steady-State Analysis of Single- and Multi-Conductor Transmission Lines in Frequency Domain. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:5-8 [Conf]
  3. Masakatsu Nishigaki, Nobuyuki Tanaka, Hideki Asai
    Mixed Mode Circuit Simulator SPLIT2.1 using Dynamic Network Separation and Selective Trace. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:9-12 [Conf]
  4. Abdolreza Nabavi-Lishi, Nicholas C. Rumin
    Inverter-based Models for Current Analysis of CMOS Logic Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:13-16 [Conf]
  5. Krzysztof Zamlynski, Jan Ogrodzki
    Electro-Thermal Analysis of IC's. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:17-20 [Conf]
  6. Shyh-Jye Jou, Mei-Fang Perng, Chauchin Su, C. K. Wang
    Hierarchical Techniques for Symbolic Analysis of Large Electronic Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:21-24 [Conf]
  7. Francisco V. Fernández, Piet Wambacq, Georges G. E. Gielen, Ángel Rodríguez-Vázquez, Willy M. C. Sansen
    Symbolic Analysis of Large Analog Integrated Circuits by Approximation During Expression Generation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:25-28 [Conf]
  8. Scott E. Greenfield, Marwan M. Hassoun
    Direct Hierarchical Symbolic Transient Analysis of Linear Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:29-32 [Conf]
  9. Wlodzimierz M. Zuberek, A. Konczykowska, D. Martin
    An Approach to Integrated Numerical & Symbolic Circuit Analysis. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:33-36 [Conf]
  10. C. C. Jong, Y. Y. H. Lam, S. S. Lim, T. S. Teng
    Time-Zone: A New Algorithm for Register Allocation in Data Path Synthesis. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:37-40 [Conf]
  11. N. A. Ramakrishna, Magdy A. Bayoumi
    Storage Allocation Strategies for Data Path Synthesis of ACICs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:41-44 [Conf]
  12. Jer-Min Jou, Ren-Der Chen, Shiann-Rong Kuang
    Multiport Memory Based Data Path Allocation Focusing on Interconnection Optimization. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:45-48 [Conf]
  13. Kwangsoo Seo, Jeongyop Lee, Moonkey Lee
    Allocation of Multiport Memories in ASIC Data Path Synthesis. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:49-52 [Conf]
  14. Bruce A. Johnston, Peter J. W. Graumann, Laurence E. Turner
    DSP System Synthesis Including Variable Data Path Width. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:53-56 [Conf]
  15. Yuan Hu, Bradley S. Carlson
    A Unified Algorithm for Estimation and Scheduling in Data Path Synthesis. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:57-60 [Conf]
  16. Santanu Dutta, Sudip Nag, Kaushik Roy
    ASAP: A Transistor Sizing Tool for Speed Area and Power Optimization of Static CMOS Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:61-64 [Conf]
  17. Naim Ben Hamida, Bozena Kaminska
    High Level Synthesis with Testability Constraints. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:65-68 [Conf]
  18. Shujian Zhang, R. Byrne, Jon C. Muzio, D. Michael Miller
    Why Cellular Automata are better than LFSRs as Built-in Self-test Generators for Sequential-type Faults. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:69-72 [Conf]
  19. Akachai Sang-In, Peter Y. K. Cheung
    A Method of Representative Fault Selection in Digital Circuits for ATPG. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:73-76 [Conf]
  20. Beom-Ik Cheon, Walter Anheier, Rainer Laur
    A New Strategy for Test Pattern Generation in Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:77-80 [Conf]
  21. Cristiana Bolchini, Franco Fummi, Donatella Sciuto
    Two-Dimensional Sequential Array Architectures: Design for Testability Approaches. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:81-84 [Conf]
  22. Jer-Min Jou, Shung-Chih Chen, Ren-Der Chen
    A Super Fast & Memory Efficient Diagnostic Simulation Algorithm for Combinatorial Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:85-88 [Conf]
  23. M. Hirech, O. Florent, Alain Greiner, E. Rejouan
    A Redefinable Symbolic Simulation Technique to Testability Design Rules Checking. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:89-92 [Conf]
  24. Mineo Kaneko, Kazuhiro Sakaguchi
    Oscillation Fault Diagnosis for Analog Circuits based on Boundary Search with Perturbation Model. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:93-96 [Conf]
  25. Mariusz Ziólko
    Optimal Placement of Heat Dissipating Elements. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:97-99 [Conf]
  26. H. Mauritz, Wolfgang Mathis
    Integration System as Adaptive Control System. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:101-104 [Conf]
  27. Jin-Tai Yan, Pei-Yung Hsiao
    Region Definition of Minimizing the Number of Switchboxes and Ordering Assignment. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:105-108 [Conf]
  28. N. S. Nagaraj, Paul Krivacek, Mark Harward
    Approximate Computation of Signal Characteristics of On-chip RC Interconnect Trees. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:109-112 [Conf]
  29. Joseph L. Ganley, James P. Cohoon
    Routing a Multi-Terminal Critical Net: Steiner Tree Construction in the Presence of Obstacles. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:113-116 [Conf]
  30. Dilvan de Abreu Moreira, Les T. Walczowski
    Automated Placement for a Leaf Cell Generator. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:117-120 [Conf]
  31. Ming Qu, M. A. Styblinski
    Statistical Characterization and Modeling of Analog Functional Blocks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:121-124 [Conf]
  32. Jin-Qin Lu, Kimihiro Ogawa, Takehiko Adachi, Andrzej J. Strojwas
    Stochastic Interpolation Model Scheme for Statistical Circuit Design. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:125-128 [Conf]
  33. J. W. Bandler, S. H. Chen, R. M. Biernacki, Kim Halskov Madsen
    The Huber Concept in Device Modeling, Circuit Diagnosis and Design Centering. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:129-132 [Conf]
  34. Hua Su, Christopher Michael, Mohammed Ismail
    Statistical Constrained Optimization of Analog CMOS Circuits using Empirical Performance Models. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:133-136 [Conf]
  35. Leszek J. Opalski, Jacek Wojciechowski
    Application of the Piecewise Ellipsoidal Approximation Technique to Design Centering. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:137-140 [Conf]
  36. Morie E. Malowany, Gordon W. Roberts, Vinod K. Agarwal
    VAMP: A Hierarchical Framework for Design for Manufacturability. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:141-144 [Conf]
  37. J. W. Bandler, R. M. Biernacki, S. H. Chen, P. A. Grobelny
    A CAD Environment for Performance and Yield Driven Circuit Design Employing Electromagnetic Field Simulators. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:145-148 [Conf]
  38. Syed A. Aftab, M. A. Styblinski
    IC Variability Minimization using a New Cp and Cpk Based Variability/Performance Measure. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:149-152 [Conf]
  39. J. C. Zhang
    Worst Case Design of Digital Integrated Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:153-156 [Conf]
  40. Abdelhakim Safir, Baher Haroun, Krishnaiyan Thulasiraman
    A Floorplanner driven by Structural & Timing Constraints. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:157-160 [Conf]
  41. Cheng-Hsi Chen, Ioannis G. Tollis
    A New Approach to Floorplan Area Optimization: To Slice or not to Slice? [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:161-164 [Conf]
  42. Tetsushi Koide, Yoshinori Katsura, Katsumi Yamatani, Shin'ichi Wakabayashi, Noriyoshi Yoshida
    A Floorplanning Method with Topological Constraint Manipulation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:165-168 [Conf]
  43. P. Chin, Anthony Vannelli
    Interior Point Methods for Placement. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:169-172 [Conf]
  44. Achim G. Hoffmann
    The Dynamic Locking Heuristic - A New Graph Partitioning Algorithm. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:173-176 [Conf]
  45. Carsten F. Ball, Peter V. Kraus, Dieter A. Mlynski
    Fuzzy Partitioning applied to VLSI-Floorplanning and Placement. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:177-180 [Conf]
  46. M. Kemal Unaltuna, Vijay Pitchumani
    A Stochastic Reward & Punishment Neural Network Algorithm for Circuit Bipartitioning. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:181-184 [Conf]
  47. Masahiko Toyonaga, Shih-Tsung Yang, Toshiro Akino, Isao Shirakawa
    A New Approach of Fractional-Dimension Based Module Clustering for VLSI Layout. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:185-188 [Conf]
  48. Yhonkyong Choi, Juhyun Lee, Chong S. Rim
    Automatic Functional Cell Generation in the Sea-of-Gates Layout Style. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:189-192 [Conf]
  49. Masaki Hashizume, Takeomi Tamesada, Akio Sakamoto
    A Maximum Clique Derivation Algorithm for Simplification of Incompletely Specified Machines. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:193-196 [Conf]
  50. Bogdan J. Falkowski, Chip-Hong Chang
    Efficient Algorithms for the Calculation of Arithmetic Spectrum from OBDD & Synthesis of OBDD from Arithmetic Spectrum for Incompletely Specified Boolean Functions. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:197-200 [Conf]
  51. Stanislaw Deniziak, Krzysztof Sapiecha
    Cupland - A Behavioral Level Description Compiler for Designing of PLD/EPLD-Based Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:201-204 [Conf]
  52. Uwe F. Baake, Sorin A. Huss
    Scheduling of Signal Transition Graphs under Timing Constraints. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:205-208 [Conf]
  53. Jazi Eko Istiyanto, Sean Monaghan
    FPGA-Memory Tradeoff in the High-Level Synthesis of FPGA-Based Reconfigurable Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:209-212 [Conf]
  54. Sanjive Agarwala, Patrick W. Bosshart
    A Linear Time Algorithm for Timing Directed Circuit Optimizations. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:213-216 [Conf]
  55. Chris J. Rousse, Alison J. Carter
    Exploring Delay/Area Trade-Offs of an LDI Filter Using a Natural Based Algorithm. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:217-220 [Conf]
  56. Kunihiro Fujiyoshi, Yoji Kajitani, Hiroshi Niitsu
    Design of Optimum Totally Perfect Connection-Blocks of FPGA. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:221-224 [Conf]
  57. Shin'ichi Wakabayashi, Kazunori Isomoto, Tetsushi Koide, Noriyoshi Yoshida
    A Systolic Graph Partitioning Algorithm for VLSI Design. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:225-228 [Conf]
  58. Michael Dossis, James M. Noras, Gary J. Porter
    Synthesis of Customized Hardware from ADA. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:229-232 [Conf]
  59. M. J. M. Heijiligers, H. A. Hilderink, Adwin H. Timmer, Jochen A. G. Jess
    NEAT: An Object Oriented High-Level Synthesis Interface. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:233-236 [Conf]
  60. Ning Song, Malgorzata Chrzanowska-Jeske
    Output Column Folding for Cellular-Architecture FPGAs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:237-240 [Conf]
  61. Giuseppe Caruso
    An Improved Algorithm for Boolean Factoring. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:241-244 [Conf]
  62. Karim Khordoc, Eduard Cerny
    Modeling Cell Processing Hardware with Action Diagrams. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:245-248 [Conf]
  63. Minjoong Rim, Rajiv Jain
    Estimating Performance Characteristics of Loop Transformations. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:249-252 [Conf]
  64. William Y. M. Lai, C. K. Tse, C. H. Szeto
    Computer Formulation of Averaged Models for Periodically-Switched Networks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:253-256 [Conf]
  65. Jukka Lahti
    Graphical Specification Methods for Digital Telecommuniation ASICs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:257-260 [Conf]
  66. Tolga Çiloglu, Zafer Ünver
    A Novel Method for Discrete Coefficient FIR Digital Filter Design. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:261-264 [Conf]
  67. Jouni Isoaho, Jari Nurmi
    An Overall FIR Filter Optimization Tool for High Granularity Implementation Technologies. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:265-268 [Conf]
  68. John Harris, Mark Chadwick, Tom Quan, Norbert Diesing, Edward MacRobbie
    Mixed Analog-Digital Simulation: The tools are here... is anyone really using them? [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:269-274 [Conf]
  69. Masahiro Fujita, Jerry Chih-Yuan Yang, Edmund M. Clarke, Xudong Zhao, Patrick C. McGeer
    Fast Spectrum Computation for Logic Functions using Binary Decision Diagrams. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:275-278 [Conf]
  70. Loïc Vandeventer, Jean François Santucci
    Using Binary Decision Diagrams to Speed up the Test Pattern Generation of Behavioral Circuit Descriptions Written in Hardware Description Languages. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:279-282 [Conf]
  71. Liang-Fang Chao, Edwin Hsing-Mean Sha
    Retiming and Clock Skew for Synchronous Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:283-286 [Conf]
  72. Chien-Chung Tsai, Malgorzata Marek-Sadowska
    Detecting Symmetric Variables in Boolean Functions using Generalized Reel-Muller Forms. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:287-290 [Conf]
  73. Jérôme Fron, Jerry Chih-Yuan Yang, Maurizio Damiani, Giovanni De Micheli
    A Synthesis Framework Based on Trace and Automata Theory. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:291-294 [Conf]
  74. Yuan Hu, Bradley S. Carlson
    Improved Lower Bounds for the Scheduling Optimization Problem. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:295-298 [Conf]
  75. Gianpiero Cabodi, Paolo Camurati, Stefano Quer
    Detecting hard faults with combined approximate forward/backward symbolic techniques. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:299-302 [Conf]
  76. João P. Marques Silva, Karem A. Sakallah
    Efficient and Robust Test Generation-Based Timing Analysis. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:303-306 [Conf]
  77. Sung Tae Jung, Chu Shik Jhon
    Direct Synthesis of Efficient Speed-Independent Circuits from Deterministic Signal Transition Graphs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:307-310 [Conf]
  78. Robert C.-H. Chang, Bing J. Sheu
    An Analog MOS Model for Circuit Simulation and Benchmark Test Results. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:311-314 [Conf]
  79. M. E. Kole, J. Smith, O. E. Herrmann
    Modeling Symmetry in Analog Electronic Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:315-318 [Conf]
  80. Dongfeng Zhao, Ray R. Chen
    GODPE: Global Optimization in Small Signal Device Model Parameter Extraction. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:319-322 [Conf]
  81. Jack Lau, Ping K. Ko, Philip C. Chan
    On the Modelling of a CMOS Magnetic Sensor. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:323-326 [Conf]
  82. Christophe Lallement, R. Bouchakour, T. Maurel
    A VDMOS transistor model taking into account the thermoelectrical interactions. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:327-330 [Conf]
  83. David I. Long, Sa'ad Medhat
    Behavioural Modelling of Mixed Signal ASICs: A new multi-level approach. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:331-334 [Conf]
  84. Ayman I. Kayssi, Karem A. Sakallah
    Macromodel Simplification Using Dimensional Analysis. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:335-338 [Conf]
  85. Nicolas Moenclaey, Andreas Kaiser
    Accurate Modelling of the Non-Linear Settling Behaviour of Current Memory Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:339-342 [Conf]
  86. Hans Georg Brachtendorf, Rainer Laur
    Modeling of Frequency-dependent Hysteresis with SPICE. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:343-346 [Conf]
  87. Zhihua Wang, Georges G. E. Gielen, Willy M. C. Sansen
    A Novel Method for the Fault Detection of Analog Integrated Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:347-350 [Conf]
  88. Salman Ahmed, Peter Y. K. Cheung
    Analog Fault Diagnosis - A Practical Approach. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:351-354 [Conf]
  89. Margherita Pillan, Donatella Sciuto
    Constraint Generation & Placement for Automatic Layout Design of Analog Integrated Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:355-358 [Conf]
  90. K. K. Wee, R. J. Mack
    Towards Expandable and Generalised Analogue Design Automation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:359-362 [Conf]
  91. Ronald S. Gyurcsik, George Gad-El-Karim, Griff L. Bilbro
    Sensitivity-Driven Placement of Analog Modules. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:363-366 [Conf]
  92. Jorge Chávez Orzáez, Antonio Jesús Torralba Silgado, Leopoldo García Franquelo
    A Fuzzy-logic based Tool for Topology Selection in Analog Synthesis. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:367-370 [Conf]
  93. N. C. Horta, José E. Franca
    A Methodology for Automatic Generation of Data Conversion Topologies from Algorithms. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:371-374 [Conf]
  94. Miguel Angel Aguirre Echánove, Jorge Chávez Orzáez, Antonio Jesús Torralba Silgado, Leopoldo García Franquelo
    Analog Design optimization by means of a Tabu Search Approach. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:375-378 [Conf]
  95. Mustafa Celik, O. Ocali, Mehmet Ali Tan, Abdullah Atalar
    Improving AWE Accuracy Using Multipoint Padé Approximation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:379-382 [Conf]
  96. Jan Ogrodzki, Dariusz Bukat
    Compact Modelling in Circuit Simulation: the General Purpose Analyser OPTIMA-3. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:383-386 [Conf]
  97. Hans Peter Amann, Philippe Moeschler, Fausto Pellandini, Alain Vachoux, Charles Munk, Daniel Mlynek
    High-Level Specification of Behavioural Hardware Models with MODES. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:387-390 [Conf]
  98. Michiko Miura-Mattausch, Alexander Rahm, Michael Bollu, Ute Feldmann, Dominique Savignac
    A Novel Consistent MOSFET Model for CAD Application with Reduced Calculation Time. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:391-394 [Conf]
  99. Hannu Jokinen, Martti Valtonen
    Small-Signal Analysis of Nonideal Switched-Capacitor Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:395-398 [Conf]
  100. Erik Stoy, Zebo Peng
    An Integrated Modelling Technique for Hardware/Software Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:399-402 [Conf]
  101. Paul Van Halen
    A Physical Charge-Based Model for the Space Charge Region of Abrupt and Linear Semiconductor Junctions. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:403-406 [Conf]
  102. Rahul B. Deokar, Sachin S. Sapatnekar
    A Graph-Theoretic Approach to Clock Skew Optimization. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:407-410 [Conf]
  103. Masaki Ishida, Koichi Hayashi, Masakatsu Nishigaki, Hideki Asai
    Iterated Timing Analysis with Dynamic Partitioning Technique for Bipolar Transistor Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:411-414 [Conf]
  104. Bengt-Arne Molin, Sven Mattisson
    Concurrent Switch-Level Timing Simulation Based on Waveform Relaxation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:415-418 [Conf]
  105. Vijaya Gopal Bandi, Hideki Asai
    Transient Simulation of Coupled Lossy Interconnects by Window Partitioning Technique. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:419-422 [Conf]
  106. Ying-Wen Bai
    Interval Finite-Difference Methods for Digital MOS Circuits Simulation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:423-426 [Conf]
  107. Keng-Hua Shi, A. K. Jastrzebski, Les T. Walczowski, J. Barnaby
    A Multi-Mode Simulation System for GaAs Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:427-430 [Conf]
  108. Kewei Yang, Richard C. Meitzler, Andreas G. Andreou
    A Model for MOS Effective Channel Mobility with Emphasis in the Subthreshold and Transition Region. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:431-434 [Conf]
  109. Harish Kriplani, Farid N. Najm, Ibrahim N. Hajj
    Improved Delay and Current Models for Estimating Maximum Currents in CMOS VLSI Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:435-438 [Conf]
  110. Luís Felipe Uebel, Sergio Bampi
    A Timing Model for VLSI CMOS Circuits Verification and Optimization. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:439-442 [Conf]
  111. A. B. van der Wal, Robert G. J. Arendsen, Aarnout Brombacher, O. E. Herrmann
    Hierarchical Statistical Verification of Large Full Custom CMOS Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:443-446 [Conf]
  112. Hsiao-Dong Chiang, Chia-Chi Chu
    A Systematic Search Method for Obtaining Multiple Local Optimal Solutions of Nonlinear Programming Problems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:447-450 [Conf]
  113. Francisco V. Fernández, Georges G. E. Gielen, Lawrence Huelsman, Agnieszka Konczykowska, Stefano Manetti, Willy M. C. Sansen, Jiri Vlach
    Pleasures, Perils and Pitfalls of Symbolic Analysis. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:451-457 [Conf]
  114. Qi-Jun Zhang, Michel S. Nakhla
    Signal Integrity Analysis and Optimization of VLSI Interconnects using Neural Network Models. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:459-462 [Conf]
  115. Todd D. Hodes, Bernard A. McCoy, Gabriel Robins
    Dynamically-Wiresized Elmore-Based Routing Constructions. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:463-466 [Conf]
  116. Wasim Khan, Sreekrishna Madhwapathy, Naveed A. Sherwani
    A Hierarchical Approach to Clock Routing in High Performance Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:467-470 [Conf]
  117. T. W. Her, D. F. Wong
    Over-the-Cell Routing with Cell Orientations Consideration. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:471-474 [Conf]
  118. Naresh Kumar Seghal, C. Y. Roger Chen, John M. Acken
    A High Performance General Purpose Multi-Point Signal Router. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:475-478 [Conf]
  119. Ira Pramanick, Hyder Ali
    Analysis and Experiments for a Parallel Solution to the All Pairs Shortest Path Problem. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:479-482 [Conf]
  120. Nozomu Togawa, Masao Sato, Tatsuo Ohtsuki
    A Simultaneous Placement and Global Routing Algorithm for FPGAs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:483-486 [Conf]
  121. Henning Spruth, Frank M. Johannes, Kurt Antreich
    PHIroute: A Parallel Hierarchical Sea-of-Gates Router. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:487-490 [Conf]
  122. Juan A. Prieto, José M. Quintana, Adoración Rueda, José L. Huertas
    An Algorithm for the Place-and-Route Problem in the Layout of Analog Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1994, pp:491-494 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002