The SCEAS System
Navigation Menu

Conferences in DBLP

IEEE International Symposium on Circuits and Systems (ISCAS) (iscas)
1995 (conf/iscas/1995-1)

  1. Walt T. Bax, Tom A. D. Riley, Calvin Plett, Miles A. Copeland
    A Sigma-Delta Frequency Discriminator Based Synthesizer. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1-4 [Conf]
  2. Ian Galton
    A Practical Second-Order Delta-Digma Frequency-to-Digital Converter. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:5-8 [Conf]
  3. P. Ju, K. Suyama, P. Ferguson, W. Lee
    A Highly Linear Switched-Capacitor DAC for Multi-Bit Sigma-Delta D/A Applications. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:9-12 [Conf]
  4. Rex T. Baird, Terri S. Fiez
    Improved Delta-Sigma DAC Linearity Using Data Weighted Averaging. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:13-16 [Conf]
  5. Mervyn H. Adams, Chris Toumazou
    A Novel Architecture for Reducing the Sensitivity of Multibit Sigma-Delta ADCs To DAC Nonlinearity. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:17-20 [Conf]
  6. Anura P. Jayasumana, Yashwant K. Malaiya, Sankaran M. Menon
    A Novel High-Speed BiCMOS Domino Logic Family. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:21-24 [Conf]
  7. Chung-Yu Wu, Jr-Houng Lu, Kuo-Hsing Cheng
    A New CMOS Current-Sensing Complementary Pass-Transistor Logic (CSCPTL) for High-Speed Low-Voltage Applications. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:25-28 [Conf]
  8. J. A. Hidalgo-López, J. C. Tejero, J. Fernández, A. Gago
    New Types of Digital Comparators. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:29-32 [Conf]
  9. R. X. Gu, Mohamed I. Elmasry
    Power Dissipation in Deep Submicron CMOS Digital Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:33-36 [Conf]
  10. Stefan A. Kühn, Michael B. Kleiner, Roland Thewes, Werner Weber
    Vertical Signal Transmission in Three-Dimensional Integrated Circuits by Capacitive Coupling. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:37-40 [Conf]
  11. Abdelhakim Safir, Baher Haroun, Krishnaiyan Thulasiraman
    Floorplanning with Datapath Optimization. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:41-44 [Conf]
  12. Kai-Yuan Chao, D. F. Wong
    Floorplanning for Low Power Designs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:45-48 [Conf]
  13. Morteza Saheb Zamani, Graham R. Hellestrand
    A Stepwise Refinement Algorithm for Integrated Floorplanning, Placement and Routing of Hierarchical Designs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:49-52 [Conf]
  14. Piyush K. Sancheti, Sachin S. Sapatnekar
    Layout Optimization Using Arbitrarily High Degree Posynomial Models. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:53-56 [Conf]
  15. Wei-Liang Lin, Majid Sarrafzadeh
    A Linear Arrangement Problem with Applications. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:57-60 [Conf]
  16. A. Tawfik, Panajotis Agathoklis, Fayez El Guibaly
    New IIR Digital Filter Realizations Using Residue-Feedback. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:61-64 [Conf]
  17. Svante Signell, Todor Kouyoumdjiev, Kåre Mossberg, Lennart Harnefors
    Design of Bilinear Digital Ladder Filters. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:65-68 [Conf]
  18. Svante Signell, Lennart Harnefors
    Analysis of Bilinear Digital Ladder Filters. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:69-72 [Conf]
  19. Kasyapa Balemarthy, Steven C. Bass
    General, Linear Boundary Conditions in MD Wave Digital Simulations. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:73-76 [Conf]
  20. Jin-Gyun Chung, Keshab K. Parhi
    Synthesis and Pipelining of Ladder Wave Digital Filters in Digital Domain. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:77-80 [Conf]
  21. XuDuan Lin, KyungHi Chang, Jaeseok Kim
    Optimal PN Sequences Design for Quasi-Synchronous CDMA Communication Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:81-84 [Conf]
  22. C. A. Carty, M. M. Jamali, A. G. Eldin, Subhash C. Kwatra, R. E. Jones
    A High Speed 800 Channel Digital Interpolator Network. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:85-88 [Conf]
  23. S. Subramanian, Dale J. Shpak, Andreas Antoniou
    Performance of a Quasi-Newton Adaptive Filtering Algorithm for a CDMA Indoor Wireless System. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:89-92 [Conf]
  24. Nabil Abd Rabou, Hiroaki Ikeda, Hirofumi Yoshida
    Wideband Optical Fiber Signal Transmission System of 300MHz Bandwidth Using LED. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:93-96 [Conf]
  25. Norman M. Filiol, Calvin Plett, Tom A. D. Riley, Miles A. Copeland
    Bit-Error Rate Measurements for A High Frequency Interpolated Frequency-Hopping Spread-Spectrum System. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:97-100 [Conf]
  26. Arturo Sarmiento-Reyes
    A Novel Method to Predict Both, the Upper Bound on the Number and the Stability of DC Operating Points of Transistor Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:101-104 [Conf]
  27. Michael M. Green
    A Method for Identifying Combinations of Transistors that can be Replaced with a Single Transistor when Applying the Nielsen-Willson Theorem. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:105-108 [Conf]
  28. Robert M. Fox
    Design-Oriented Analysis of CD Operating-Point Instability. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:109-112 [Conf]
  29. S. W. Ng, Y. S. Lee, C. K. Tse, S. C. Wong
    Stability of a Circuit with Parasitic Capacitances. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:113-116 [Conf]
  30. Michael M. Green, Robert C. Melville
    Sufficient Conditions for Finding Multiple Operating Points for CD Circuits Using Continuation Methods. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:117-120 [Conf]
  31. Syozo Yasui, Aleksander Malinowski, Jacek M. Zurada
    Convergence Suppression and Divergence Facilitation: New Approach to Prune Hidden Layer and Weights of Feedforward Neural Networks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:121-124 [Conf]
  32. Juan Seijas, José L. Sanz-González
    Basic-Evolutive Algorithms for Neural Networks Architecture Configuration and Training. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:125-130 [Conf]
  33. Aleksander Malinowski, Tomasz J. Cholewo, Jacek M. Zurada
    Capabilities and Limitations of Feedforward Neural Networks with Multilevel Neurons. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:131-134 [Conf]
  34. Ruey-Wen Liu, Yih-Fang Huang, Xie-Ting Ling
    A Novel Approach to the Convergence of Unsupervised Learning Algorithms. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:135-138 [Conf]
  35. Clifford Sze-Tsan Choy, Pui-Kin Ser, Wan-Chi Siu
    Peak Detection in Hough Transform Via Self-Organizing Learning. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:139-143 [Conf]
  36. Mohamed Belkhayat, Roger E. Cooley, Eyad H. Abed
    Stability and Dynamics of Power Systems with Regulated Converters. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:143-145 [Conf]
  37. X. Jiang, Heinz Schättler, John Zaborszky, Vaithianathan Venkatasubramanian
    Hard Limit Induced Oscillations. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:146-150 [Conf]
  38. M. A. Pai, Mark Laufenberg
    On the Computation of Hetero Clinic Orbits in Dynamical Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:151-154 [Conf]
  39. Toshiya Mashima, Toshimasa Watanabe
    Approximation Algorithms for the k-Edge-Connectivity Augmentation Problem. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:155-158 [Conf]
  40. Hiroshi Tamura, Ryohei Sato, Masakazu Sengoku, Shoji Shinoda, Takeo Abe
    The Structure of Networks Realized from Terminal Capacity Matrices. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:159-162 [Conf]
  41. Gustavo E. Téllez, Majid Sarrafzadeh
    On Rectilinear Distance-Preserving Trees. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:163-166 [Conf]
  42. Kai Wang, Wai-Kai Chen
    Floorplan Area Optimization Using Network Analogous Approach. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:167-170 [Conf]
  43. Omid Shoaei, W. Martin Snelgrove
    A Multi-Feedback Design for LC Bandpass Delta-Sigma Modulators. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:171-174 [Conf]
  44. Mats Erling Høvin, Alf Olsen, Tor Sverre Lande, Chris Toumazou
    Delta-Sigma Converters Using Frequency-Modulated Intermediate Values. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:175-178 [Conf]
  45. Huibert-Jan Verhoeven, Johan H. Huijsing
    Design of Thermal Sigma-Delta Modulators for Smart Thermal Sensors. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:179-182 [Conf]
  46. Rohit Mittal, David J. Allstot
    Low-Power High-Speed Continuous-Time Sigma-Delta Modulators. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:183-186 [Conf]
  47. Mehmet Soyuer, Herschel A. Ainspan, John F. Ewen
    A 1.6-Gb/s CMOS Phase-Frequency Locked Loop for Timing Recovery. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:187-190 [Conf]
  48. Fuminori Kobayashi, Masayuki Haratsu
    A Digital PLL with Finite Impulse Responses. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:191-194 [Conf]
  49. Patrik Larsson
    A Wide-Range Progammable High-Speed CMOS Frequency Divider. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:195-198 [Conf]
  50. Jiin-Chuan Wu, Hun-Hsien Chang
    A 550MHz 9.3mW CMOS Frequency Divider. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:199-202 [Conf]
  51. Jason Cong, Patrick H. Madden
    Performance Driven Routing with Mulitiple Sources. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:203-206 [Conf]
  52. Shashidhar Thakur, Kai-Yuan Chao, D. F. Wong
    An Optimal Layer Assignment Algorithm for Minimizing Crosstalk for Three Layer VHV Channel Routing. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:207-210 [Conf]
  53. Tetsuya Miyoshi, Shin'ichi Wakabayashi, Tetsushi Koide, Noriyoshi Yoshida
    An MCM Routing Algorithm Considering Crosstalk. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:211-214 [Conf]
  54. Jason Cong, Cheng-Kok Koh
    Minimum-Cost Bounded-Skew Clock Routing. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:215-218 [Conf]
  55. Anissa Zergaïnoh, Pierre Duhamel, Jean Pierre Vidal
    DSP Implememntation of Fast FIR Filtering Algorithms Using Short FFT's. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:219-222 [Conf]
  56. Chao-Liang Chen, Kei-Yong Khoo, Alan N. Willson Jr.
    An Improved Polynomial-Time Algorithm for Designing Digital Filters with Power-of-Two Coefficients. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:223-226 [Conf]
  57. Mitsuhiko Yagyu, Toshiyuki Yoshida, Akinori Nishihara, Nobuo Fujii
    Design of FIR Digital Filters with Minimum Weight Representation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:227-230 [Conf]
  58. Darren N. Pearson, Keshab K. Parhi
    Low-Power FIR Digital Filter Architectures. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:231-234 [Conf]
  59. Tak Po Chan, Bing Zeng, Ming L. Liou
    Visual Pattern BTC with Two Principle Colors for Color Images. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:235-238 [Conf]
  60. Andrea Maccato, Rui J. P. de Figueiredo
    The Image and Associated Orientation Signatures. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:239-242 [Conf]
  61. W. K. Lam, C. K. Li
    Classification of Rotated and Scaled Textures by Local Linear Operations. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:243-246 [Conf]
  62. Halûk Aydinoglu, Monson H. Hayes
    Stereo Image Coding. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:247-250 [Conf]
  63. Marco Gilli
    A Spectral Approach for Studying Spatio-Temporal Chaos. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:251-254 [Conf]
  64. L. M. Khadra, T. J. Maayah, M. Vinson, H. Dichhauss
    Chaos Detection in Time Series: A Statistical Approach. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:255-258 [Conf]
  65. Kunihiko Mitsubori, Toshimichi Saito
    A Hysteresis Hyperchaos Generator Family. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:259-262 [Conf]
  66. Hisa-Aki Tanaka, Kazuo Horiuchi, Shin'ichi Oishi
    Chaos from Orbit-Flip Homoclinic Orbits Generated in Real Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:263-266 [Conf]
  67. M. Scholles, Bedrich J. Hosticka, Markus Schwarz
    Real-Time Application of Biology-Inspired Neural Networks Using and Emulator with Dedicated Communication Hardware. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:267-270 [Conf]
  68. Ammar B. A. Gharbi, Fathi M. A. Salam
    Implementation and Test Results of a Chip for the Separation of Mixed Signals. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:271-274 [Conf]
  69. Jaime Ramírez-Angulo
    A BiCMOS Universal Membership Function Circuit with Fully Independant, Adjustable Parameters. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:275-278 [Conf]
  70. Francisco Colodro Ruiz, Antonio Jesús Torralba Silgado, Leopoldo García Franquelo
    A Circuit for Learning in Fuzzy Logic-Based Controllers. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:279-286 [Conf]
  71. Chia-Chi Chu, Hsiao-Dong Chiang, James S. Thorp
    An Investigation of Invariant Properties of Unstable Equilibrium Points on the Stability Boundary for Simple Power System Models. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:287-290 [Conf]
  72. Rajesh Rajaraman, Ian Dobson
    Damping and Incremental Energy in Thyristor Switching Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:291-294 [Conf]
  73. Chung-Yu Wu, Heng-Shou Hsu
    The Design of New Low-Voltage CMOS VHF Continuous-Time Lowpass Biquaud Filters. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:295-298 [Conf]
  74. R. F. Wolffenbuttel, G. de Graaf, E. Engen
    Bipolar Circuits for Readout of an Integrated Silicon Color Sensor. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:299-302 [Conf]
  75. Erik Bruun
    Bandwidth Limitations in Current Mode and Volage Mode Integrated Feedback Amplifiers. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:303-306 [Conf]
  76. C. S. Choy, C. F. Chan, M. H. Ku
    A Feedback Control Circuit Design Technique to Suppress Power Noise in High Speed Output Driver. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:307-310 [Conf]
  77. D. Perry, Gordon W. Roberts
    Log-Domain Filters Based on LC Ladder Synthesis. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:311-314 [Conf]
  78. Giuseppe Di Cataldo, Giovanni Palmisano, Gaetano Palumbo
    A CMOS CCII+. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:315-318 [Conf]
  79. Feng Wang, Ramesh Harjani
    Dynamic Amplifiers: Settling, Slewing and Power Issues. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:319-322 [Conf]
  80. Peter Shah, Chris Toumazou
    A New BiCMOS Technique for Very Fast Discrete-Time Signal Processing. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:323-326 [Conf]
  81. Roberto Manduchi
    2-D IFIR Structures Using Generalized Factorable Filters. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:327-330 [Conf]
  82. Pavel Zahradnik, Rolf Unbehauen
    Frequency Shift of Two-Dimensional Real Coefficient Zero Phase Fir Digital Filters. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:331-334 [Conf]
  83. Srikanth Pokala, Arnab K. Shaw
    Optimal Spatial-Domain Design Analogues in Optimal System Identification. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:335-338 [Conf]
  84. H. Safiri, Majid Ahmadi, V. Ramachandran
    Design of 2-Dimensional Digital Filters Using 2-D All-Pass Building Blocks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:340-343 [Conf]
  85. Rajamohana Hegde, B. A. Shenoi
    Design of 2-D IIR Filters Using a New Digital Spectral Transformation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:344-347 [Conf]
  86. Takao Hinamoto, Shuji Karino, Naoki Kuroda
    Error Spectrum Shaping in 2-D Digital Filters. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:348-351 [Conf]
  87. Haiyun Luo, Songwu Lu, Andreas Antoniou
    New Algorithm for Structurally Balanced Model Reduction of 2-D Discrete Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:352-355 [Conf]
  88. Haiyun Luo, Songwu Lu, Andreas Antoniou
    A Weighted Balanced Realization of 2-D Discrete Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:357-360 [Conf]
  89. Vesa Välimäki
    A New Filter Implementation Strategy for Lagrange Interpolation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:361-364 [Conf]
  90. Miki Haseyama, Tohru Hirohku, Hideo Kitajima
    A Realization Method of an ARMAX Lattice Filter. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:365-368 [Conf]
  91. Alexander Y. Tetelbaum
    Path Search for Complicated Functions. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:369-372 [Conf]
  92. Carsten F. Ball, Andreas Just, Dieter A. Mlynski
    A Fuzzy Mean Field Approach for Partitioning and Placement. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:373-376 [Conf]
  93. Bernhard M. Riess, Gisela G. Ettelt
    Speed: Fast and Efficient Timing Driven Placement. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:377-380 [Conf]
  94. Xiao Quan Li, Marwan A. Jabri
    Neural Network Based Estimation of VLSI Building Block Dimensions from Schematic. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:381-384 [Conf]
  95. M. Kemal Unaltuna, Vijay Pitchumani
    ANSA: A New Neural Net Based Scheduling Algorithm for High Level Synthesis. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:385-388 [Conf]
  96. Ian M. Bell, Kevin R. Eckersall, Stephen J. Spinks, Gaynor E. Taylor
    Fault Orientated Test and Fault Simulation of Mixed Signal Integrated Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:389-392 [Conf]
  97. Jing-Jou Tang, Bin-Da Liu, Kuen-Jong Lee
    An IDDQ Fault Model to Facilitate the Design of Built-In Current Sensor (BICSs). [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:393-396 [Conf]
  98. Stefan Wolter, Holger Matz, Andreas Schubert, Rainer Laur
    On the VLSI Implementation of the International Data Encryption Algorithm IDEA. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:397-400 [Conf]
  99. William A. Chren Jr.
    One-Hot Residue Coding for High-Speed Non-Uniform Pseudo-Random Test Pattern Generation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:401-404 [Conf]
  100. Gary C. Moyer, Mark Clements, Wentai Liu, Toby Schaffer, Ralph K. Cavin III
    High Speed, Fine Resolution Pattern Generation Using the Matched Delay Technique. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:405-408 [Conf]
  101. Kuen-Jong Lee, Sheng-Yih Jeng, Tian-Pao Lee
    A New Architecture for Analog Boundary Scan. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:409-412 [Conf]
  102. Jörg Kramer, Rahul Sarpeshkar, Christof Koch
    An Analog VLSI Velocity Sensor. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:413-416 [Conf]
  103. M. Salerno, F. Sargeni, V. Bonaiuto
    DPCNN: A Modular Chip for Large CNN Arrays. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:417-420 [Conf]
  104. Chua-Chin Wang, Jeng-Ming Wu
    Analysis and Current-Mode Implementation of Asymptotically Stable Exponential Bidirectional Associative Memory. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:421-424 [Conf]
  105. Wang Baoyun, Luxi Yang, Hongtao Lu, Zhenya He
    On the Capacity of Intraconnected Bidirectional Associative Memory. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:425-428 [Conf]
  106. Yoshihiko Horio, Ken Suyama
    Dynamic Associative Memory Using Switched-Capacitor Chaotic Neurons. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:429-432 [Conf]
  107. Michael C. Doggett, Graham R. Hellestrand
    A Hardware Architecture for Video Rate Shading of Volume Data. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:433-436 [Conf]
  108. Bin Fu, Keshab K. Parhi
    Generalized Multiplication Free Arithmetic Codes. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:437-440 [Conf]
  109. Hugh Q. Cao, Weiping Li
    A New Multilevel Codebook Searching Algorithm for Vector Quantization. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:441-444 [Conf]
  110. A. Nabout, Bing Su, H. A. Nour Eldin
    A Novel Closed Contour Extractor, Principle and Algorithm. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:445-448 [Conf]
  111. Yui-Lam Chan, Wan-Chi Siu
    Fast Interframe Transfrom Coding Based on Characteristics of Transform Coefficients and Frame Difference. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:449-452 [Conf]
  112. J. A. Provine, Leonard T. Bruton
    Lip Synchronization in 3-D Model Based Coding for Video-Conferencing. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:453-456 [Conf]
  113. Touradj Ebrahimi, Homer H. Chen, Barry G. Haskell
    A Region Based Motion Compensated Video Codec for Very Low Bitrate Applications. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:457-461 [Conf]
  114. Dong-Il Chang, Young-kwon Cho, SouGuil Ann
    A New Wavelet Tranform-Based CELP Coder with Band Selection and Selective VQ. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:462-465 [Conf]
  115. Keith Hung-Kei Chow, Ming L. Liou
    Simple Cell Admission Control and Buffer Management Scheme for Mulitclass Video-On-Demand Service. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:466-469 [Conf]
  116. R. K. Bertschmann, N. R. Bartley, Leonard T. Bruton
    A 3-D Integrator-Differentiator Double-Loop (IDD) Filter for Raster-Scan Video Processing. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:470-473 [Conf]
  117. Raffaele Parisi, Elio D. Di Claudio, Gianni Orlandi
    Total Least Squares Approach for Fast Learning in Multilayer Neural Networks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:474-477 [Conf]
  118. Wu Meng, Feng Guangzeng
    A Multi-Solution Learning Algorithm for Fuzzy Rules. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:478-481 [Conf]
  119. Yoshikazu Miyanaga, Honglan Jin, Rafiqul Islam, Koji Tochinai
    A Self-Organized Network with a Supervised Training. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:482-485 [Conf]
  120. Jun Wang, Ce Zhu, Chenwu Wu, Zhenya He
    Neural Network Approaches to fast and Low Rate Vector Quantization. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:486-489 [Conf]
  121. Aijit Dingankar, Irwin W. Sandberg
    On Error Bounds for Neural Network Approximation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:490-492 [Conf]
  122. Takeshi Kamio, Hiroshi Ninomiya, Hideki Asai
    Convergence of Hopfield Neural Network for Orthogonal Transformation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:493-496 [Conf]
  123. Tzuu-Hseng S. Li, Chyi-Cherng Lai
    Lyapunov Function Based Fuzzy State Estimator. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:497-500 [Conf]
  124. Mark P. Joy, Vedat Tavsanoglu
    Circulant Matrices and the Stability of Ring CNNs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:501-504 [Conf]
  125. Paolo Arena, Luigi Fortuna, Giovanni Muscato, Maria Gabriella Xibilia
    Fast Learning by Weight Estimation in Complex Valued MLPs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:505-508 [Conf]
  126. Morikazu Nakamura, Kenji Onaga, Seiki Kyan, Manuel Silva
    A Genetic Algorithm for Sex-Fair Stable Marriage Problem. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:509-512 [Conf]
  127. Masato Nakagawa, Dong-Ik Lee, Sadatoshi Kumagai, Shinzo Kodama
    Equivalent Net Abstraction and Firing Sequence Preservation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:513-516 [Conf]
  128. Tadao Murata, Jaegeol Yim
    Petri-Net Methods for Reasoning in Real-Time Control Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:517-520 [Conf]
  129. Atsushi Togashi, Nobuyuki Usui, Kukhwan Song, Norio Shiratori
    A Derivation of System Specifications Based on a Partial Logical Petri Net. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:521-524 [Conf]
  130. João Goes, João C. Vital, José E. Franca
    Optimum Resolution-per-Stage in High-Speed Pipelined A/D Converters Using Self-Calibration. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:525-528 [Conf]
  131. Jorge Guilherme, José E. Franca
    New CMOS Logarithmic A/D Converters Employing Pipeline and Algorithmic Architectures. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:529-532 [Conf]
  132. Zheng Tang, Yuichi Shirata, Okihiko Ishizuka, Koichi Tanno
    A Self-Calibrating A/D Converter Using T-Model Neural Network. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:533-536 [Conf]
  133. Chih-Cheng Chen, Chung-Yu Wu, Jyh-Jer Cho
    A 1.5 V CMOS Current-Mode Cyclic Analog-to-Digital Converter with Digital Error Correction. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:537-540 [Conf]
  134. A. Häberli, Piero Malcovati, Henry Baltes, Franco Maloberti
    An Incremental A/C Converter for Accurate Vector Probe Measurements. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:541-544 [Conf]
  135. Chin-Liang Wang, Ching-Chia Chen, Che-Fu Chen
    A Digital-Serial VLSI Architecture for Delayed LMS Adaptive FIR Filttering. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:545-548 [Conf]
  136. Hsiang-Ling Li, Chaitali Chakrabarti
    A New Viterbi Decoder Design for Code Rate K/N. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:549-552 [Conf]
  137. Arun Raghupathy, Ut-Va Koc, K. J. Ray Liu
    A Waveront Array for URV Decomposition Updating. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:553-556 [Conf]
  138. Naresh R. Shanbhag, Gi-Hong Im
    Pipelined Adaptive IIR Filter Architecture. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:558-561 [Conf]
  139. Peter Pirsch, Johannes Kneip, Karsten Rönner
    Parallelization Resources of Image Processing Algorithms and Their Mapping on a Programmable Parallel Videosignal Processor. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:562-565 [Conf]
  140. Vigyan Singhal, Robert K. Brayton, Carl Pixley
    Power-Up Delay for Retiming Digital Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:566-569 [Conf]
  141. Jeong-Taek Kong, David Overhauser
    Combining RC-Interconnect Effects with Nonlinear MOS Macromodels. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:570-573 [Conf]
  142. Wen-Hsing Hsieh, Shyh-Jye Jou, Chauchin Su
    A Parallel Event-Driven MOS Timing Simulator on Distributed-Memory Multiprocessors. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:574-577 [Conf]
  143. Jeong-Taek Kong, Syed Zakir Hussain, David Overhauser
    Improving Digital MOS Macromodel Accuracy. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:578-581 [Conf]
  144. Syed Zakir Hussain, David Overhauser
    Automatic Dynamic Mixed-Mode Simulation Through Network Reconfiguration. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:582-584 [Conf]
  145. P. P. Vaidyanathan, Tsuhan Chen
    Structures for Time Reversed Inversion in Filter Banks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:585-588 [Conf]
  146. See-May Phoong, P. P. Vaidyanathan
    Efficient Recursive Computation of 1D and 2D-Quincunx IIR Wavelets. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:589-592 [Conf]
  147. T. Engin Tuncer, Truong Q. Nguyen
    IIR M-Th Band Filters with Allpass Components. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:593-596 [Conf]
  148. Sankar Basu, Han-Mook Choi
    Mermite-like Reduction Method for Design of Perfect Reconstruction Multiband Linear Phase Filter Banks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:597-600 [Conf]
  149. P. P. Vaidyanathan, See-May Phoong
    Reconstruction of Sequences from Nonuniform Samples. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:601-604 [Conf]
  150. Jian Feng, Hassan Mehrpour, Kwok-Tung Lo, A. E. Karbowiak
    Two-Layer MPEG Video Coding Algorithm for ATM Networks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:605-608 [Conf]
  151. Marco Winzker, Peter Pirsch, Jochen Reimers
    Architecture and Memory Requirements for Stand-Alone and Hierarchical MPEG2 HDTV-Decoders with Synchronous DRAMs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:609-612 [Conf]
  152. Yanghoon Kim, Chong S. Rim, Byoungki Min
    A Block Matching Algorithm with 16: 1 Subsampling and Its Hardware Design. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:613-616 [Conf]
  153. Michael C. Chen, Alan N. Willson Jr.
    A High Accuracy Predictive Logarithmic Motion Estimation Algorithm for Video Coding. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:617-620 [Conf]
  154. Stefan Honken, Feng-Ming Yang, Rainer Laur
    A HDTV-Suited Architecture for a Fast Full Search Block-Matching Algorithm. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:621-624 [Conf]
  155. Chris Dunn, Mark B. Sandler
    Linearising Sigma-Delta Modulators Using Dither and Chaos. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:625-628 [Conf]
  156. Thomas P. Borsodi, Behrouz Nowrouzian
    Closed-Form Solution of Granular Quantization Error for a Class of Sigma-Delta Modulators. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:629-632 [Conf]
  157. Montgomery Goodson, Bo Zhang, Richard Schreier
    Proving Stability of Delta-Sigma Modulator Using Invariant Sets. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:633-636 [Conf]
  158. Benoît R. Veillette, Gordon W. Roberts
    Bandpass Signal Generation Using Delta-Sigma Modulation Techniques. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:637-640 [Conf]
  159. Pervez M. Aziz, Henrik V. Sorensen, Jan Van der Spiegel
    Performance of Complex Noise Transfer Functions in Bandpass and Multi Band Sigma Delta Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:641-644 [Conf]
  160. Johan A. K. Suykens, Joos Vandewalle
    Generalized Cellular Neural Networks Represented in he NLq Framework. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:645-648 [Conf]
  161. Benzheng Xiao
    An Algebraic Construct Method for Cellular Neural Networks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:649-652 [Conf]
  162. Bing J. Sheu, Sa H. Bang, Wai-Chi Fang
    VLSI Design of Cellular Neutral Networks with Annealing and Optical Input Capabilities. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:653-656 [Conf]
  163. Servando Espejo-Meana, Rafael Domínguez-Castro, Ángel Rodríguez-Vázquez
    Realization of a CNN Universal Chip in CMOS Technolgy. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:657-659 [Conf]
  164. R. Yentis Jr., C. A. Zincke, Mona E. Zaghloul, M. Gaitan
    Micromachined Display Ouptut for a Cellular Neural Network. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:660-663 [Conf]
  165. Timo Veijola, Tapani Ryhänen
    Model of Capacitive Micromechanical Accelerometer Including Effect of Squeezed Gas Film. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:664-667 [Conf]
  166. Johan Scholliers, Timo Yli-Pietilä
    A SPICE-Based Library for Mechatronic Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:668-671 [Conf]
  167. Jacek Wojciechowski, Leszek J. Opalski, Krzysztof Zamlynski
    From Circuit to Mechatronic System Tolerance Optimization. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:672-675 [Conf]
  168. Herman Mann
    Mixed Energy-Domain Multipoles and Multiports. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:676-679 [Conf]
  169. Ute Feldmann, Martin Hasler, Wolfgang M. Schwarz
    Communication by Chaotic Signals: The Inverse System Approach. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:680-683 [Conf]
  170. R. Lozi
    Using Filters for Chaotic Synchronization or Communications. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:684-687 [Conf]
  171. T. L. Carroll
    Using Filters for Chaotic Synchronization for Communications. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:688-691 [Conf]
  172. Patrick Celka
    Synchronization of Chaotic Systems Through Parameter Adaptation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:692-695 [Conf]
  173. K. Nagaraj
    Self-Calibration Technique for Pipe-Lined Algorithmic ADC. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:696-699 [Conf]
  174. Haruo Kobayashi, Hiroshi Sakayori, Tsutomu Tobari, Hiroyuki Matsuura
    Error Correction Algorithm for Folding/Interpolation ADC. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:700-703 [Conf]
  175. Richard Mason, John T. Taylor
    High-Speed, High-Reslution Analogue-To-Digital Conversion Using a Hybrid Electro-Optic Approach. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:704-707 [Conf]
  176. Donald M. Hummels, Wahid Ahmed, F. H. Irons
    Measurement of Random Sample Time Jitter for ADCs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:708-711 [Conf]
  177. Eddie G. Tzeng, Chen-Yi Lee
    An Efficient Memory Architecture for Motion Estimation Processor Design. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:712-715 [Conf]
  178. Min-Hsiung Lin, Gee-gwo Mei, Thomas A. Horvath, Robert J. Yagley, Roger S. Rutter
    A Novel Memory Architecture to Achieve Minimal Rounding/Truncation Errors for N Dimensional Image Transformation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:716-719 [Conf]
  179. Yongjin Jeong, Wayne Burleson
    High-Level Estimation of High-Performance Architectures for Reed-Solomon Decoding. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:720-723 [Conf]
  180. Dawood Alam, Stuart S. Lawson
    VLSI Implementation of a New Bit-Level Pipelined Architecture for 2-D Allpass Digital Filters. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:724-727 [Conf]
  181. Yen-Cheng Wen, Kyle Gallivan, Resve A. Saleh
    Improving Parallel Circuit Simulation Using High-Level Waveforms. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:728-731 [Conf]
  182. Scott vpn Tonningen, Michael D. Ciletti
    ADM: A New Technique for the Simulation of CMOS Circuit Transients. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:732-735 [Conf]
  183. Mark C. Williams, Ronald S. Vogelsong, Kenneth S. Kundert
    Simulation and Modelling of Nonlinear Magnetics. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:736-739 [Conf]
  184. Hiroshi Ninomiya, Hideki Asai
    Orthogonalized Steepest Descent Method for Solving Nonlinear Equations. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:740-743 [Conf]
  185. T. Karp, Norbert J. Fliege
    MDFT Filter Banks with Perfect Reconstruction. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:744-747 [Conf]
  186. Huan Yan, Masaaki Ikehara
    Modulated 2 Dimensional Perfect Reconstruction FIR Filter Banks with Permissible Passbands. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:748-751 [Conf]
  187. Yuan-Pei Lin, P. P. Vaidyanathan
    Two-Dimensional Paraunitary Cosine Modulated Perfect Reconstruction Filter Banks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:752-755 [Conf]
  188. Shogo Muramatsu, Hitoshi Kiya
    Multidimensional Parallel Processing Methods for Rational Sampling Lattice Alteration. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:756-759 [Conf]
  189. Kaoru Kurosawa, Naonori Yamashita
    Power Complementary and Linear Phase Filter Banks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:760-763 [Conf]
  190. Hain-Ching Liu, Gregory L. Zick
    Automatic Determination of Scene Changes in MPEG Compressed Video. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:764-767 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002