The SCEAS System
Navigation Menu

Conferences in DBLP

IEEE International Symposium on Circuits and Systems (ISCAS) (iscas)
1995 (conf/iscas/1995-2)

  1. Panos Nasiopoulos, Rabab Kreidieh Ward
    A Hybrid Coding Method for Digital HDTV Signals. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:769-772 [Conf]
  2. Sung-Wai Hong, Yuk-Hee Chan, Wan-Chi Siu
    An Adaptive Constrained Least Square Approach for Removing Blocking Effect. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:773-776 [Conf]
  3. Kwok-Tung Lo, Jian Feng
    New Dyadic Transform for Image Compression. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:777-780 [Conf]
  4. Chengshan Xiao, David J. Hill, Panajotis Agathoklis
    On Stability and the Lyapunov Equation for n-Dimensional Digital Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:781-784 [Conf]
  5. S. A. Yost, Peter H. Bauer
    Asymptotic Stability of Linear Shift-Variant Difference Equations with Diamond-Shaped Uncertainties. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:785-788 [Conf]
  6. Yuval Bistritz
    Stability Test for 2-D LSI System Via a Unit Circle Test for Complex Polynomials. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:789-792 [Conf]
  7. Osman Ismail, B. Bandyopadhyay
    Robust Pole Assignment for Discrete Interval Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:793-796 [Conf]
  8. Romano Fantacci, M. Forti, A. Liberatore, Stefano Manetti, Mauro Marini
    Suppression of Spurious Responses for a Class of Neural Networks with Application to Telecommunications Problems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:797-800 [Conf]
  9. Jack L. Meador
    Spaciotemporal Neural Networks for Shortest Path Optimization. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:801-804 [Conf]
  10. Tsuyoshi Kawaguchi, Tamio Todaka
    A Neural Network for the Scheduling Problem in High Level Synthesis. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:805-808 [Conf]
  11. Yong-Hyun Cho, Weon-Ook Kim, Hyun-Koo Kang
    A New Approach for Improving the Convergence Performance of Global Optimization Problems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:809-812 [Conf]
  12. R. Belmans, K. Hameyer
    Combining Circuit Theory and Numerical Field Calculations in Designing Mini-Mechatronic Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:813-816 [Conf]
  13. A. Kecskemethy
    Extending the Transmission-Element Model for Mechanical Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:817-820 [Conf]
  14. Domine Leenaerts, Arjan J. Leeuwenburgh, G. G. Persoon, H. J. Reitsma
    A New Architecture for a Cyclic Algorithmic D/A Converter. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:821-824 [Conf]
  15. P. P. Vervoort, R. F. Wassenaar
    A CMOS Rail-to-Rail Linear VI-Converter. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:825-828 [Conf]
  16. Sitthichai Pookaiyaudom, Jirayuth Mahattanakul
    A 3.3 Volt High-Frequency Capacitorless Electronically-Tunable Log-Domain Oscillator. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:829-832 [Conf]
  17. Ming-Dou Ker, Chung-Yu Wu, Hun-Hsien Chang, Tao Cheng, Tain-Shun Wu
    Complementary-LVTSCR ESD Protection Scheme for Submicron CMOS IC's. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:833-836 [Conf]
  18. Axel Thomsen, Robert Lindquist, Jeffrey H. Kulick, Patrick Nasiatka, Gregory Nordin, Stephen Kowel
    A Pixel Scale Digital to Analog Converter for Liquid Crystal on VLSI Displays. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:837-840 [Conf]
  19. Geir E. Sæther, Chris Toumazou, Gaynor E. Taylor, Kevin R. Eckersall, Ian M. Bell
    Concurrent Self Test of Switched Current Circuits Based on the S2I-Technique. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:841-844 [Conf]
  20. Franco Maloberti, S. Brigati, Giuseppe Caiulo, Guido Franchi, A. Bigongiari
    An Analog High-Speed Wide-Range Programmable Monostable Multivibrator. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:845-849 [Conf]
  21. Girish N. Patel, Stephen P. DeWeerth
    An Analog VLSI Loser-Take-All Circuit. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:850-853 [Conf]
  22. Paul D. Walker, Michael M. Green
    A Loser-Take-All Error Amplifier for DC Power Supply Control. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:854-857 [Conf]
  23. Andrew G. Dempster, Malcolm D. Macleod
    Comparison of IIR Filter Structure Complexities Using Multiplier Blocks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:858-861 [Conf]
  24. Ashraf Alkhairy
    On IIR Filter Design. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:862-864 [Conf]
  25. T. S. Ng, Joe F. Chicharo
    IIR Notch Filtering - Comparisons of Four Adaptive Algorithms for Frequency Estimation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:865-868 [Conf]
  26. J. L. Sullivan, J. W. Adams
    A Nonlinear Optimization Algorithm for Asymmetric FIR Digital Filters. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:869-872 [Conf]
  27. G. Deng, L. Cahill
    Isotropic Quadratic Filter Design Using the Discrete Cosine Transform. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:873-876 [Conf]
  28. Joon Tae Kim, Woo Jin Oh, Yong Hoon Lee
    Design of Non-Uniformly Spaced Linear Phase FIR Filters Using Mixed Integer Linear Programming. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:877-880 [Conf]
  29. Simon M. Kershaw, Steve Summerfield, Mark B. Sandler
    On Sigma-Delta Signal Processing Remodulator Complexity. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:881-884 [Conf]
  30. François Moreau de Saint-Martin, Pierre Siohan
    Design of Optimal Linear-Phase Transmitter and Receiver Filters for Digital Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:885-888 [Conf]
  31. G. Dickmann, N. J. Fliege
    Digital Signal Processing for Multi-Carrier Data Transmission on Phase-Controlled Power Lines with Nonlinearities. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:889-892 [Conf]
  32. Douglas R. Frey
    On Chaotic Digital Encoding and Generalized Inverses. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:893-896 [Conf]
  33. S. M. GadelRab, James A. Barby, Savvas G. Chamberlain
    An Architecture for Integrated Reliability Simulators Using Analog Hardware Description Languages. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:897-900 [Conf]
  34. Elizabeth J. Brauer, Sung-Mo Kang
    Estimating Node Voltages in Bipolar Circuits Using Linear Programming. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:901-903 [Conf]
  35. Michael Dolle
    Analysis of Simultaneous Switching Noise. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:904-907 [Conf]
  36. Mohammad Rezai, Mabo Robert Ito, P. D. Lawrence
    Modeling and Simulation of Hybrid Control Systems by Global Petri Nets. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:908-911 [Conf]
  37. Luca Penzo, Donatella Sciuto, Cristina Silvano
    GECO: A Tool for Automatic Generation of Error Control Codes for Computer Applications. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:912-915 [Conf]
  38. Tetsuo Nishi, Yuji Kawane
    An Extension of the Tadeusiewicz Method for Finding Bounds on All Solutions of Piecewise-Linear Equations. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:916-919 [Conf]
  39. Gabriel J. Gómez, Sherif H. K. Embabi, Edgar Sánchez-Sinencio, Martin C. Lefebvre
    A Nonlinear Macromodel for CMOS OTAs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:920-923 [Conf]
  40. Yongjoo Kim, Youngsoo Shin, Kyuseok Kim, Jaehee Won, Kiyoung Choi
    Efficient Prototyping System Based on Incremental Design and Module-by-Module Verification. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:924-927 [Conf]
  41. João Camara, Helena Sarmento
    Tool Management in an Electronic CAD Framework. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:928-932 [Conf]
  42. Richard Andrew, Seraphim Poriazis
    Design of Synchronous Circuits with Multiple Clocks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:933-936 [Conf]
  43. Mankoo Lee, Wing-Il Sze, Chii-ming M. Wu
    Static Noise Margin and Soft-Error Rate Simulations for Thin Film Transistor Cell Stability in a 4 Mbit SRAM Design. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:937-940 [Conf]
  44. Patrik Larsson
    Skew Safety and Logic Flexibility in a True Single Phase Clocked System. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:941-944 [Conf]
  45. W. Eatherton, J. Kelly, T. Schiefelbein, H. Pottinger, L. R. Mullin, R. Ziegler
    An FPGA Based Reconfigurable Coprocessor Board Utilizing a Mathematics of Arrays. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:945-948 [Conf]
  46. Mohamed Soufi, Yvon Savaria, Bozena Kaminska
    On Using Partial Reset for Pseudo-Random Testing. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:949-952 [Conf]
  47. Colin Kuskie, Bo Zhang, Richard Schreier
    A Decimation Filter Architecture for GHz Delta-Sigma Modulators. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:953-956 [Conf]
  48. Michael Lightstone, Eduardo Abreu, Sanjit K. Mitra, Kaoru Arakawa
    State-Conditioned Rank-Ordered Filtering for Removing Impulse Noise in Images. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:957-960 [Conf]
  49. Akira Taguchi, Mitsuhiko Meguro
    Adaptive L-Filters Based on Fuzzy Rules. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:961-964 [Conf]
  50. Hua Xu, Wu-Sheng Lu, Andreas Antoniou
    Design of Perfect Reconstruction QMF Banks by a Null-Space Projection Method. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:965-968 [Conf]
  51. Esam Abdel-Raheem, Fayez El Guibaly, Andreas Antoniou
    Design of Low-Delay Perfect-Reconstruction FIR Filter Banks for Tree-Structured Subband Coders. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:969-972 [Conf]
  52. Q. S. Gu, M. N. S. Swamy, Leon C. K. Lee, M. Omair Ahmad
    IIR Digital Filters for Sampling Structure Conversion and Deinterlacing of Video Signals. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:973-976 [Conf]
  53. Yuang-Ming Hsu, Vincenzo Piuri, Earl E. Swartzlander Jr.
    Time-Redundant Multiple Computation for Fault-Tolerant Digital Neural Networks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:977-980 [Conf]
  54. Hao Tang, Hung Chang Lin
    Defuzzifier Circuits Using Resonant Tunneling Diodes. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:981-984 [Conf]
  55. Howard C. Card, Dean K. McNeill, Christian R. Schneider, Roland S. Schneider
    The Impact of VLSI Fabrication on Neural Learning. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:985-988 [Conf]
  56. Brannon C. Harris, Stephen P. DeWeerth
    Analog Encoding Circuits for a Digital CMOS Neural Oscillator. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:989-992 [Conf]
  57. Nobuyuki Sanada, Toshimichi Saito
    Response Characteristic from an Artificial Hysteresis Neuron. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:993-996 [Conf]
  58. Hongtao Lu, Luxi Yang, Wang Baoyun, Zhenya He
    A New Type of Chaotic Attractor with Cellular Neural Networks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:997-1000 [Conf]
  59. Kenya Jin'no
    Bifurcation Phenomena from a Simple Hysteresis Network. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1001-1004 [Conf]
  60. Makoto Itoh, Hiroyuki Murakami, Leon O. Chua
    Signal Transmission through a Chain of Chua's Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1005-1008 [Conf]
  61. Ljupco Kocarev
    Chaos Synchronization of High-Dimensional Dynamical Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1009-1012 [Conf]
  62. Toshimichi Saito, Hiroyuki Torikai, Kenya Jin'no
    Synchronization and Control of Chaos by Occasional Linear Connection. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1013-1016 [Conf]
  63. Kevin M. Cuomo
    Systematic Synthesis Procedures for High-Dimensional Chaotic Systems: Methods and Applications. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1017-1020 [Conf]
  64. Seyfi S. Bazarjani, W. Martin Snelgrove
    Low Voltage SC Circuit Design with Low-Vt MOSFETs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1021-1024 [Conf]
  65. Chung-Yu Wu, Wei-Shinn Wey, Tsai-Chung Yu
    A 1.5V CMOS Balanced Differential Switched-Capacitor Filter with Internal Clock Boosters. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1025-1028 [Conf]
  66. Hirokazu Yoshizawa, Gabor C. Temes
    High-Linearity Switched-Capacitor Circuits in Digital CMOS Technology. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1029-1032 [Conf]
  67. Joshua C. Park, Rohit Mittal, Kimberly C. Bracken, L. Richard Carley, David J. Allstot
    High-Speed CMOS Current-Mode Equalizers. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1033-1036 [Conf]
  68. K. A. Kozma, David A. Johns, Adel S. Sedra
    An Approach for Tuning High-Q Continuous-Time Bandpass Filters. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1037-1040 [Conf]
  69. Gilles Privat, Frédéric Robin, Marc Renaudin, Bachar El Hassan
    A Fine-Grain Asynchronous VLSI Cellular Array Processor Architecture. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1041-1044 [Conf]
  70. Kuei-Ming Lu, Keikichi Tamaru
    A New Algorithm for Sorting Problem with Reformed CAM. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1045-1048 [Conf]
  71. Kyumyung Choi, Steven P. Levitan
    Exploration of Area and Performance Optimized Datapath Design Using Realistic Cost Metrics. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1049-1052 [Conf]
  72. Apoorv Srivastava, Yong-Seon Koh, Barton Sano, Alvin M. Despain
    190-MHz CMOS 4-Kbyte Pipelined Caches. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1053-1056 [Conf]
  73. Marcello Duhalde, Alain Greiner, Frédéric Pétrot
    A High Performance Modular Embedded ROM Architecture. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1057-1060 [Conf]
  74. Ming-Ter Kuo, Lung-Tien Liu, Chung-Kuan Cheng
    Finite State Machine Decomposition for I/O Minimization. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1061-1064 [Conf]
  75. Zhongli He, Dian Zhou
    Optimization of VLSI Allocation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1065-1068 [Conf]
  76. Anand Raghunathan, Niraj K. Jha
    An ILP Formulation for Low Power Based on Minimizing Switched Capacitance During Data Path Allocation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1069-1073 [Conf]
  77. Calvin J. A. Hsia, C. Y. Roger Chen
    Synthesis of Asynchronous Circuits - Testing Unique Circuit Behavior of Signal Transition Graphs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1074-1077 [Conf]
  78. D. Mueller, August Kaelin
    A Hybrid HDSL Echo Canceler. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1078-1081 [Conf]
  79. Byungjin Chun, Beomsup Kim, Yong Hong Lee
    Adaptive Carrier Recovery Using Multi-Order DPLL for Mobile Communication Applications. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1082-1085 [Conf]
  80. Gerhard Doblinger
    Performance Analysis of an Adaptive Subspace Filter for Signal Enhancement. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1086-1089 [Conf]
  81. Jae Ha Yoo, Sung Ho Cho, Dae Hee Youn
    A Lattice/Transversal Joint (LTJ) Structure for an Acoustic Echo Canceller. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1090-1093 [Conf]
  82. Tracie A. Schirtzinger, W. Kenneth Jenkins
    Designing Adaptive Equalizers Based on the Constant Modulus Error Criterion. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1094-1097 [Conf]
  83. Pen-Shu Yeh, Warner H. Miller
    A Real-Time Lossless Data Compression Technology for Remote-Sensing and Other Applications. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1098-1101 [Conf]
  84. Giridhar Mandyam, Nasir Ahmed, Samuel D. Stearns
    A Two-Stage Scheme for Lossless Compression of Images. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1102-1105 [Conf]
  85. Yousef W. Nijim, Wasfy B. Mikhael, Samuel D. Stearns
    Lossless Compression of Seismic Signals Using Least Square Frequency Domain Pole-Zero Modeling. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1106-1109 [Conf]
  86. Mark A. Coffey, Delores M. Etter
    Image Coding with the Wavelet Transform. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1110-1113 [Conf]
  87. Gregory M. Cooley, Terri S. Fiez, Bryan Buchanan
    PWM and PCM Techniques for Control of Digitally Programmable Switching Power Supplies. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1114-1117 [Conf]
  88. Motoki Fujii, Tadashi Suetugu, Hirotaka Koizumi, Kokichi Shinoda, Shinsaku Mori
    Resonant dc/dc Converter with Class E Inverter and Class E Synchronous Rectifier Using Thinned-Out Method. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1119-1122 [Conf]
  89. Henry Chung, Adrian Ioinovici
    Large-Signal Stability of PWM Switching Regulators. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1123-1126 [Conf]
  90. A. Abur, D. Shirmohammadi, C. S. Cheng
    Estimation of Switch Statuses for Radical Power Distribution Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1127-1130 [Conf]
  91. Weijun Ji, Vaithianathan Venkatasubramanian
    Dynamics of Minimal Power System Model - Invariant Tori and Quasi-Periodic Motions. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1131-1135 [Conf]
  92. Hui Ye, Anthony N. Michel, Kaining Wang
    Qualitative Analysis of Hopfield Neural Nets with Delays: Global and Local Results. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1136-1139 [Conf]
  93. Amit Bhaya, Eugenius Kaszkurewicz, V. S. Kozyakin
    Existence and Stability of a Unique Equilibrium in Continuous-Valued Discrete-Time Asynchronous Hopfield Neural Networks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1140-1143 [Conf]
  94. Huanglin Zeng, Roman W. Swiniarski
    On Dynamics of a Learning Associative Memory. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1144-1147 [Conf]
  95. S. Jankowski, Andrzej Lozowski, Jacek M. Zurada
    Multivalued Neural Associative Memory. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1148-1151 [Conf]
  96. Mitsuhisa Kanaya, Masako Takahira, Toshirou Watanabe, Cong-Kha Pham, Mamoru Tanaka
    Associative Dynamics of Competitive Cellular Neural Network. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1152-1155 [Conf]
  97. Jaime Ramírez-Angulo, Kevin Treece, P. Andrews, T. Choi
    Current-Mode and Voltage-Mode VLSI Fuzzy Processor Architecture. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1156-1159 [Conf]
  98. Kazuho Tamano
    Development of a Hyper-Parallel Optical Fuzzy-Neural Network Using Images. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1160-1163 [Conf]
  99. Bing J. Sheu, Robert C. Chang, Tony H. Wu, Sa H. Bang
    VLSI-Compatible Cellular Neural Networks with Optimal Solution Capability for Optimization. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1165-1168 [Conf]
  100. Apollo Q. Fong, Ajay Kanji, Edgar Sánchez-Sinencio, José Pineda de Gyvez
    A Universal Interface Between PC and Neural Networks Hardware. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1169-1172 [Conf]
  101. Javier Veda-Pineda, Sergio D. Cabrera, Yi-Chieh Chang
    VLSI Implementation of a Wavelet Image Compression Technique Using Replicated Coding/Decoding Cells. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1173-1176 [Conf]
  102. Guanrong Chen, Xiaoning Dong
    Identification and Control of Chaotic Systems: An Artificial Neural Network Approach. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1177-1182 [Conf]
  103. Mirko Paskota
    Targeting in Chaotic Dynamical Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1183-1186 [Conf]
  104. Ira B. Schwartz Ioana Triandaf
    Detecting Motion on Center Manifolds from a Time Series - An Example. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1187-1190 [Conf]
  105. Hervé Dedieu, Maciej Ogorzalek
    Signal Coding and Compression Based on Chaos Control Techniques. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1191-1194 [Conf]
  106. James A. Cherry, W. Martin Snelgrove
    Analog Filter Banks with Low Intermodulation Distortion. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1195-1198 [Conf]
  107. F. Yang, Christian C. Enz, D. Python
    A New BiCMOS Low-Voltage and Low-Distortion OTA for Continuous-Time Filters. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1199-1202 [Conf]
  108. Chris Toumazou, Alison Payne, Sitthichai Pookaiyaudom
    The Active-R Filter Technique Applied to Current-Feedback Op-Amps. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1203-1206 [Conf]
  109. M. Rinne, T. Jarske, Hannu Tenhunen, Olli Vainio, Yrjö Neuvo
    Noise Suppression System Integration Using an Analog Allpass Filter Bank. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1207-1210 [Conf]
  110. Sung Tae Jung, Eun Sei Park, Jung Sik Kim, Chu Shik Jhon
    Automatic Synthesis of Gate-Level Speed-Independent Control Circuits from Signal Transition Graphs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1211-1214 [Conf]
  111. Aurobindo Dasgupta, Ramesh Karri
    Synthesis of Reliable Application Specific Heterogeneous Multiprocessors. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1215-1218 [Conf]
  112. Jun-Yong Lee, Eugene Shragowitz
    Performance Driven Technology Mapper for FPGAs with Complex Logic Block Structures. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1219-1222 [Conf]
  113. Uwe F. Baake, Sorin A. Huss
    Logic Reduction in Timed Asynchronous Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1223-1226 [Conf]
  114. Xinhui Niu, Jay B. Brockman
    A Bayesian Approach to Variable Screening for Modeling the IC Fabrication Process. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1227-1230 [Conf]
  115. Jacek Wojciechowski, Leszek J. Opalski, Krzysztof Zamlynski
    Applications of the Piecewise Ellipsoidal Approximation to the Design of Nonlinear Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1231-1234 [Conf]
  116. Lluis Ribas, Jordi Carrabina
    Symbolic Analysis for Fault Detection in Switch-Level Circuits. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1235-1238 [Conf]
  117. Seth Chaiken, Paliath Narendran
    The All-Minors VCCS Matrix Tree Theorem, Half-Resistors and Applications in Symbolic Simulation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1239-1242 [Conf]
  118. Masahiro Fukumoto, Hajime Kubota, Shigeo Tsujii
    Improvement in Stability and Convergence Speed on Normalized LMS Algorithm. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1243-1246 [Conf]
  119. Thomas Ernst, August Kaelin
    Analysis of the LMS Algorithm with Delayed Coefficient Update. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1247-1250 [Conf]
  120. Mariane R. Petraglia
    Convergence Analysis of a Subband Adaptive Filter Structure. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1251-1254 [Conf]
  121. K. A. Mayyas, Tyseer Aboulnasr
    Leaky LMS: A Detailed Analysis. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1255-1258 [Conf]
  122. Gerard Coutu, Monique Fargues
    Lossless Compression of Ultra Wideband Radar Using Adaptive Filters. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1259-1262 [Conf]
  123. Arun Ramaswamy, Wasfy B. Mikhael
    Development of a Near Lossless Image Compression Technique Using Mixed Transforms. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1263-1266 [Conf]
  124. F. Livingston, N. Magotra, S. Stearns, W. McCoy
    Real-Time Implementation Concerns for Lossless Waveform Compression. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1267-1270 [Conf]
  125. Herman Mann
    Circuit Model of Energy-Storing Transducers. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1271-1274 [Conf]
  126. Ming Ying Kuo, Ching Chuan Kuo, Mei Shong Kuo
    Novel Transmission-Line Collection Systems for Photovoltaic Power. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1275-1278 [Conf]
  127. M. A. Pai, Peter W. Sauer, A. Y. Kulkarni
    A Preconditioned Iterative Solver for Dynamic Simulation of Power Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1279-1282 [Conf]
  128. Dongjin Lee, Jeongwon Lee
    Simulation of Transmission Lines with Frequency Dependent Lossed By Indirect Numerical Integration. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1283-1288 [Conf]
  129. Christopher Donham, Jan Van der Spiegel, Paul Mueller, Z. Walton
    Real Time Feature Extraction of Acoustic Signals with an Analog Neural Computer. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1289-1292 [Conf]
  130. Sammy Siu, Ching-Haur Chang, Che-Ho Wei
    Square-Root Recursive Prediction Error Algorithm for Perceptron-Based Adaptive Equalization Over Frequency Selective Fading Channel. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1293-1296 [Conf]
  131. D. Bhattacharya, Andreas Antoniou
    Design of 2-D FIR Filters by Feedback Neural Networks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1297-1300 [Conf]
  132. Csaba Rekeczky, Akio Ushida, Tamás Roska
    Diminishment and Enlargement of Binary Pictures Using Slightly Space Variant Cellular Neural Network Architecture. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1301-1304 [Conf]
  133. Rong-Chung Chen, Pao-Ta Yu
    An Optimal Design of Fuzzy (m, n) Rank Order Filtering with Hard Decision Neural Learning. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1305-1306 [Conf]
  134. Tamás Roska, Leon O. Chua, Ákos Zarándy
    Translating Neuromorphic CNN Visual Models to the Analogic Visual Microprocessors. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1307-1309 [Conf]
  135. Takeshi Yamakawa
    A Survey on Fuzzy Information Processing Hardware Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1310-1314 [Conf]
  136. Charles C. Hsu, Jinghua Ding, Mona E. Zaghloul
    An Image Discrete Wavelet Transform and the Hardware Implementation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1315-1319 [Conf]
  137. Gunhee Han, Edgar Sánchez-Sinencio
    A General Purpose Discrete-Time Multiplexing Neuron-Array Architecture. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1320-1323 [Conf]
  138. P. E. Pace, J. L. Schafer
    Decimation of Encoding Errors in an Optimum SNS Folding ADC. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1324-1327 [Conf]
  139. Erik Bruun, Peter Shah
    Dynamic Range of Low-Voltage Cascode Current Mirrors. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1328-1331 [Conf]
  140. Yasuhiro Sugimoto
    A 1.6V 10-Bit 20MHz Current-Mode Sample and Hold Circuit. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1332-1335 [Conf]
  141. Denise M. Wilson, Stephen P. DeWeerth
    Winning Isn't Everything. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1336-1339 [Conf]
  142. Henrik T. Jensen, Ian Galton
    A Robust Parallel Delta-Sigma A/D Converter Architecture. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1340-1343 [Conf]
  143. Stanislaw Szczepanski, Jacek Jakusz, Rolf Schaumann
    A Linear CMOS OTA for VHF Applications. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1344-1347 [Conf]
  144. D. R. Webster, David G. Haigh, Anthony E. Parker
    Novel Circuit Synthesis Technique Using Short Channel GaAs Fets Giving Reduced Intermodulation Distortion. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1348-1351 [Conf]
  145. Ute Feldmann, Alexander Rahm, Michiko Miura-Mattausch
    Benchmarking MOS Transistor Models with Respect to Capacitances and Charges for Analog Applications. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1352-1355 [Conf]
  146. Xiaoning Nie, Rolf Unbehauen
    Parallel Processing of Two-Dimensional Sequences Using 2-D Denominator-Separable digital Filters. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1356-1359 [Conf]
  147. Ali Shatnawi, M. Omair Ahmad, M. N. S. Swamy
    Rate-Optimal Static Scheduling of DSP Data Flow Graphs onto Multiprocessors using Circuit Contraction. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1360-1363 [Conf]
  148. Alvin W. Su, Shyi-Ching Liau
    A Class of Linear Cyclic-Invariant Filter for Parallel Filtering of Discrete-Time Signals. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1364-1367 [Conf]
  149. G. Angelopoulos, Ioannis Pitas
    Parallel Digital Signal Filtering on Barrel Shifter Computers. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1368-1371 [Conf]
  150. Michael J. Werter, Alan N. Willson Jr.
    Automated Programming of a Ring-Structured Multiprocessor Digital Filter IC. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1372-1375 [Conf]
  151. Wei Xing Zheng, Ba-Ngu Ba-Ngu Vo, Antonio Cantoni, Kok Lay Teo
    Improved Recursive Procedures for Envelope-Constrained Filtering. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1376-1379 [Conf]
  152. C. Y. Chung, S. C. Ng, Shu Hung Leung, Andrew Luk
    A Variable Step Size Algorithm with Generate-and Evaluate Function. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1380-1383 [Conf]
  153. Richard Gut, Peter Kreier, George S. Moschytz
    Trellis-Based Deconvolution of Ultrasonic Echoes. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1384-1387 [Conf]
  154. Hans Georg Brachtendorf, G. Welsch, Rainer Laur
    Fast Simulation of the Steady-State of Circuits by the Harmonic Balance Technique. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1388-1391 [Conf]
  155. Yi-Kan Cheng, Sung-Mo Kang
    Chip-Level Thermal Simulator to Predict VLSI Chip Temperature. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1392-1395 [Conf]
  156. Shyh-Jye Jou, Kou-Fong Liu, Chauchin Su
    Circuits Design Optimization Using Symbolic Approach. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1396-1399 [Conf]
  157. Osman Ismail, B. Bandyopadhyay
    Model Reduction of Linear Interval Systems Using Padé Approximation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1400-1403 [Conf]
  158. Katarzyna Opalska, Leszek J. Opalski
    Decomposition-Based Model Parameter Extraction. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1404-1407 [Conf]
  159. John I. Sewell, Z. Q. Shang
    Accurate Semi-Symbolic Analysis of Large Non-Ideal Switched Linear Networks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1408-1411 [Conf]
  160. Ivan A. Maio, Flavio G. Canavero
    Differential-Difference Equations for the Transient Simulation of Lossy MTLs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1412-1415 [Conf]
  161. K. N. Balasubramanya Murthy, C. Siva Ram Murthy
    A New Parallel Algorithm for Solving Sparse Linear Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1416-1419 [Conf]
  162. Yimin Zhang, Armen H. Zemanian
    Contributions of Corner Singularities of the Capacitance of Interconnections Wires. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1420-1423 [Conf]
  163. Ayman Elnaggar, Hussein M. Alnuweiri, Mabo Robert Ito
    Highly Parallel VLSI Architectures for Linear Convolution. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1424-1427 [Conf]
  164. An-Nan Suen, Jhing-Fa Wang, Yuen-Lin Chiang
    A Cepstrum Chip: Architecture and Implementation. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1428-1431 [Conf]
  165. Axel Wenzler, Ernst Lüder
    New Structures for Complex Multipliers and Their Noise Analysis. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1432-1435 [Conf]
  166. Michael A. Soderstrand, Kamal Al-Marayati
    VLSI Implementation of Very-High-Order FIR Filters. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1436-1439 [Conf]
  167. Bin Fu, Keshab K. Parhi
    Two VLSI Design Advances in Arithmetic Coding. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1440-1443 [Conf]
  168. Terence Wang, Chin-Liang Wang
    A New Block Adaptive Filtering Algorithm for Decision-Feedback Equalization of Multipath Fading Channels. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1444-1447 [Conf]
  169. Günter Rösel, Norbert J. Fliege
    Transmultiplexer Filter Banks with Extremely Low Crosstalk and Intersymbol Interference. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1448-1451 [Conf]
  170. Greg J. Erker, David E. Dodds, Witold A. Krzymien
    Digital Loop Extension Using Mid Span Amplification. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1452-1455 [Conf]
  171. Adil Benyassine, Ali N. Akansu
    Performance Analysis and Optimal Structuring of Subchannels for Discrete Multitone Transceivers. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1456-1459 [Conf]
  172. Ea-Ee Jan, Piergiorgio Svaizer, J. L. Flanagan
    Matched-Filter Processing of Microphone Array for Spatial Volume Selectivity. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1460-1463 [Conf]
  173. Michael Meyer, Mehmet V. Tazebay, Ali N. Akansu
    A Sliding and Variable Window Based Multitone Excision for Digital Audio Broadcasting. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1464-1467 [Conf]
  174. Shinji Nakagawa, Toshimichi Saito
    A Simple Hysteresis Chaos Generator and its Control. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1468-1471 [Conf]
  175. Yoshifumi Nishio, Akio Ushida
    Spatiotemporal Chaos in Four Chaotic Circuits Coupled by One Resistor. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1472-1475 [Conf]
  176. Markus Helfenstein, Arnold Muralt, George S. Moschytz
    Direct Analysis of Multiphase Switched-Current Networks Using Signal-Flow Graphs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1476-1479 [Conf]
  177. Mark N. Seidel
    Fundamental Aspects of Large Switched-Capacitor Networks. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1480-1483 [Conf]
  178. Ajoy Opal, Kaamran Raahemifar
    Zero State Response of Linear Circuits to Exponential Inputs. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1484-1487 [Conf]
  179. Hui Ye, Anthony N. Michel, Kaining Wang
    Robust Stability of Linear Time-Delay Systems: Retarded and Neutral Types. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1488-1491 [Conf]
  180. Hari C. Reddy, George S. Moschytz, Allen R. Stubberud
    All Pass Function Based Stability Test for Delta-Operator Formulated Discrete-Time Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1492-1495 [Conf]
  181. Joe Devore, Andrew Marshall, Tim McCoy
    Power IC Design for Testability. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1496-1499 [Conf]
  182. Andreas Poncet, Jean L. Poncet, George S. Moschytz
    On the Input-Output Approximation of Nonlinear Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1500-1503 [Conf]
  183. Antonio Gómez Expósito, Ali Abur, Esther Romero Ramos
    On the Use of Loop Equations in Power System Analysis. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1504-1507 [Conf]
  184. Yuri V. Makarov, Ian A. Hiskens, David J. Hill
    Study of Multisolution Quadratic Load Flow Problems and Applied Newton-Raphson Like Methods. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1508-1511 [Conf]
  185. Honglan Jin, Yoshikazu Miyanaga, Koji Tochinai
    Design of a Compact Cluster Structure by Using Genetic Algorithms. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1512-1515 [Conf]
  186. P. P. Boda
    Robust Voiced/Unvoiced Speech Classification with Self-Organizing Maps. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1516-1519 [Conf]
  187. Gregory L. Creech, Jacek M. Zurada, Peter B. Aronhime
    Feedforward Neural Networks for Estimating IC Parametric Yield and Device Characterization. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1520-1523 [Conf]
  188. Shaomin Peng, Lori Lucke
    Multi-Level Adaptive Fuzzy Filter for Mixed Noise Removal. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1524-1527 [Conf]
  189. Luis Antonio Aguirre
    The Use of Identified Models in the Control of a Chaotic Circuit. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1528-1531 [Conf]
  190. Elizabeth Bradley, Douglas E. Straub
    Chaos as a Design Tactic: Broadening the Capture Range to the Phase-Locked Loop. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1532-1535 [Conf]
  191. Tom T. Hartley, Faramarz Mossayebi
    On the Control of an Array of Chua's Systems. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1536-1539 [Conf]
  192. Suma Setty, Chris Toumazou
    +/- 1V CMOS Rail to Rail Op Amp. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1540-1543 [Conf]
  193. Ron Hogervorst, S. Morteza Safai, John P. Tero, Johan H. Huijsing
    A Programmable 3-V CMOS Rail-to-Rail Op Amp with Gain Boosting for Driving Heavy Resistive Loads. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1544-1547 [Conf]
  194. Abdulkerim L. Coban, Phillip E. Allen
    A Low-Voltage CMOS Op Amp with Rail-to-Rail Constant-gm Input Stage and High-Gain Output Stage. [Citation Graph (0, 0)][DBLP]
    ISCAS, 1995, pp:1548-1551 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002