The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Low Power Electronics and Design (islped)
1997 (conf/islped/1997)

  1. Edwin de Angel, Earl E. Swartzlander Jr.
    Survey of low power techniques for ROMs. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:7-11 [Conf]
  2. June Jiang, Kan Lu, Uming Ko
    High-performance, low-power design techniques for dynamic to static logic interface. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:12-17 [Conf]
  3. Dinesh Somasekhar, Kaushik Roy
    LVDCSL: low voltage differential current switch logic, a robust low power DCSL family. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:18-23 [Conf]
  4. Luca Benini, Giovanni De Micheli, Enrico Macii, Massimo Poncino, Stefano Quer
    System-level power optimization of special purpose applications: the beach solution. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:24-29 [Conf]
  5. Jean-Philippe Diguet, Sven Wuytack, Francky Catthoor, Hugo De Man
    Formalized methodology for data reuse exploration in hierarchical memory mappings. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:30-35 [Conf]
  6. Mutsunori Igarashi, Kimiyoshi Usami, Kazutaka Nogami, Fumihiro Minami, Yukio Kawasaki, Takahiro Aoki, Midori Takano, Chiharo Mizuno, Takashi Ishikawa, Masahiro Kanazawa, Shinji Sonoda, Makoto Ichida, Naoyuki Hatanaka
    A low-power design method using multiple supply voltages. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:36-41 [Conf]
  7. Sven Mattisson
    Minimizing power dissipation of cellular phones. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:42-45 [Conf]
  8. Donald A. Hitko, Theodore L. Tewksbury, Charles Sodini
    A 1V, 5mW, 1.8GHz balanced voltage-controlled oscillator with an integrated resonator. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:46-51 [Conf]
  9. Mats Erling Høvin, S. Kiaei, Tor Sverre Lande
    Delta Sigma frequency-to-time conversion by triangularly weighted ZC counter. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:52-55 [Conf]
  10. Balakrishna Kumthekar, In-Ho Moon, Fabio Somenzi
    A symbolic algorithm for low-power sequential synthesis. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:56-61 [Conf]
  11. Dongwan Shin, Kiyoung Choi
    Low power high level synthesis by increasing data correlation. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:62-67 [Conf]
  12. Emad N. Farag, Ran-Hong Yan, Mohamed I. Elmasry
    A programmable power-efficient decimation filter for software radios. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:68-71 [Conf]
  13. Huzefa Mehta, Robert Michael Owens, Mary Jane Irwin, Rita Yu Chen, Debashree Ghosh
    Techniques for low energy software. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:72-75 [Conf]
  14. Chris J. Nicol, Patrik Larsson
    Low power multiplication for FIR filters. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:76-79 [Conf]
  15. Morgan Hirosuke Miki, Gen Fujita, Takao Onoye, Isao Shirakawa
    Low-power H.263 video CoDec dedicated to mobile computing. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:80-83 [Conf]
  16. Jason J. Brown, Danny Z. Chen, Garrison W. Greenwood, Xiaobo Hu, Richard W. Taylor
    Scheduling for power reduction in a real-time system. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:84-87 [Conf]
  17. Premal Buch, Christopher K. Lennard, A. Richard Newton
    Engineering change for power optimization using global sensitivity and synthesis flexibility. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:88-91 [Conf]
  18. Steven M. Nowick, Michael Theobald
    Synthesis of low-power asynchronous circuits in a specified environment. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:92-95 [Conf]
  19. Yibin Ye, Kaushik Roy, Georgios I. Stamoulis
    Quasi-static energy recovery logic and supply-clock generation circuits. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:96-99 [Conf]
  20. Bum-Sik Kim, Dae-Hyum Chung, Lee-Sup Kim
    A new 4-2 adder and booth selector for low power MAC unit. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:100-103 [Conf]
  21. A. Schlaffer, Josef A. Nossek
    Enhanced prediction of energy losses during adiabatic charging. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:104-107 [Conf]
  22. J. Zhou, R. M. Ziazadeh, H.-H. Ng, H.-T. Ng, David J. Allstot
    Charge-pump assisted low-power/low-voltage CMOS opamp design. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:108-109 [Conf]
  23. Detlev Schmitt, Terri S. Fiez
    A low voltage CMOS current source. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:110-113 [Conf]
  24. J. A. E. P. van Engelen, Rudy J. van de Plassche
    New stability criteria for the design of low-pass sigma-delta modulators. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:114-118 [Conf]
  25. Lapoe Lynn, Paul Ferguson Jr.
    A capacitor-based D/A converter with continuous time output for low-power applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:119-124 [Conf]
  26. Qinru Qiu, Qing Wu, Massoud Pedram, Chih-Shun Ding
    Cycle-accurate macro-models for RT-level power analysis. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:125-130 [Conf]
  27. Mitsuhisa Ohnishi, Akihisa Yamada, Hiroaki Noda, Takashi Kambe
    A method of redundant clocking detection and power reduction at RT level design. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:131-136 [Conf]
  28. R. S. Bajwa, N. Schumann, H. Kojima
    Power analysis of a 32-bit RISC microcontroller integrated with a 16-bit DSP. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:137-142 [Conf]
  29. Milind B. Kamble, Kanad Ghose
    Analytical energy dissipation models for low-power caches. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:143-148 [Conf]
  30. James D. Meindl
    A history of low power electronics: how it began and where it's headed. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:149-151 [Conf]
  31. Jerry Frenkil
    Issues and directions in low power design tools: an industrial perspective. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:152-157 [Conf]
  32. Jan M. Rabaey
    System-level power estimation and optimization - challenges and perspectives. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:158-160 [Conf]
  33. Manish Goel, Naresh R. Shanbhag
    Dynamic algorithm transformation (DAT) for low-power adaptive signal processing. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:161-166 [Conf]
  34. Zhong-Li He, Kai-Keung Chan, Chi-Ying Tsui, Ming L. Liou
    Low power motion estimation design using adaptive pixel truncation. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:167-172 [Conf]
  35. Michael J. Dong, K. Geoffrey Yung, William J. Kaiser
    Low power signal processing architectures for network microsensors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:173-177 [Conf]
  36. Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel
    K2: an estimator for peak sustainable power of VLSI circuits. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:178-183 [Conf]
  37. José C. Costa, José C. Monteiro, Srinivas Devadas
    Switching activity estimation using limited depth reconvergent path analysis. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:184-189 [Conf]
  38. Radu Marculescu, Diana Marculescu, Massoud Pedram
    Composite sequence compaction for finite-state machines using block entropy and high-order Markov models. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:190-195 [Conf]
  39. Toni Juan, Tomás Lang, Juan J. Navarro
    Reducing TLB power requirements. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:196-201 [Conf]
  40. Enric Musoll, Tomás Lang, Jordi Cortadella
    Exploiting the locality of memory references to reduce the address bus energy. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:202-207 [Conf]
  41. Atul Kalambur, Mary Jane Irwin
    An extended addressing mode for low power. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:208-213 [Conf]
  42. Rafael Fried
    Minimizing energy dissipation in high-speed multipliers. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:214-219 [Conf]
  43. Hyung-Joon Kwon, Kwyro Lee
    A one division per clock pipelined division architecture based on LAPR (lookahead of partial-remainder) for low-power ECC applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:220-224 [Conf]
  44. David Garrett, Mircea R. Stan
    Power reduction techniques for a spread spectrum based correlator. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:225-230 [Conf]
  45. Li-Pen Yuan, Sung-Mo Kang
    A sequential procedure for average power analysis of sequential circuits. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:231-234 [Conf]
  46. R. V. K. Pillai, Dhamin Al-Khalili, Asim J. Al-Khalili
    Energy delay measures of barrel switch architectures for pre-alignment of floating point operands for addition. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:235-238 [Conf]
  47. Patrick Hicks, Matthew Walnock, Robert Michael Owens
    Analysis of power consumption in memory hierarchies. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:239-242 [Conf]
  48. Ying-Che Tseng, Steven C. Chin, Jason C. S. Woo
    The impact of SOI MOSFETs on low power digital circuits. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:243-246 [Conf]
  49. Wei Jin, Philip C. H. Chan, Mansun Chan
    On the power dissipation in dynamic threshold silicon-on-insulator CMOS inverter. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:247-250 [Conf]
  50. Markku Åberg, Anssi Leppänen, Arto Rantala, Jouko Marjonen
    Analogue LSI RF switch and beamforming matrixes for communications satellites. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:251-254 [Conf]
  51. Hiroshi Uno, Keiji Kumatani, Hiroyuki Okuhata, Isao Shirakawa, Toru Chiba
    Low power architecture for high speed infrared wireless communication system. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:255-258 [Conf]
  52. Mir Azam, Paul D. Franzon, Wentai Liu
    Low power data processing by elimination of redundant computations. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:259-264 [Conf]
  53. Yukihiro Yoshida, Bao-Yu Song, Hiroyuki Okuhata, Takao Onoye, Isao Shirakawa
    An object code compression approach to embedded processors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:265-268 [Conf]
  54. Unni Narayanan, Hon Wai Leong, Ki-Seok Chung, Chien-Liang Liu
    Low power multiplexer decomposition. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:269-274 [Conf]
  55. Winfried Nöth, Reiner Kolla
    Node normalization and decomposition in low power technology mapping. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:275-280 [Conf]
  56. Patrick Girard, Christian Landrault, Serge Pravossoudovitch, D. Severac
    A gate resizing technique for high reduction in power consumption. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:281-286 [Conf]
  57. Patrick Vuillod, Luca Benini, Giovanni De Micheli
    Re-mapping for low power under tight timing constraints. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:287-292 [Conf]
  58. Jim Burr, Anantha Chandrakasan, Fari Assaderaghi, Francky Catthoor, Frank Fox, Dave Greenhill, Deo Singh, Jim Sproch
    Low power design without compromise (panel). [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:293-294 [Conf]
  59. Dimitri A. Antoniadis
    SOI CMOS as a mainstream low power technology: a critical assessment. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:295-300 [Conf]
  60. Srinivasa R. Banna, Philip C. H. Chan, Mansun Chan, Samuel K. H. Fung, Ping K. Ko
    Fully depleted CMOS/SOI device design guidelines for low power applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:301-306 [Conf]
  61. Uming Ko, Andrew Pua, Anthony M. Hill, Pranjal Srivastava
    Hybrid dual-threshold design techniques for high-performance processors with low-power features. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:307-311 [Conf]
  62. Kai Chen 0002, Chenming Hu
    Device and technology optimizations for low power design in deep sub-micron regime. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:312-316 [Conf]
  63. David J. Frank, Paul Solomon, Scott Reynolds, John Shin
    Supply and threshold voltage optimization for low power design. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:317-322 [Conf]
  64. Dragan Maksimovic, Vojin G. Oklobdzija, Borivoje Nikolic, K. Wayne Current
    Clocked CMOS adiabatic logic with integrated single-phase power-clock supply: experimental results. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:323-327 [Conf]
  65. William C. Athas, Nestoras Tzartzanis, Lars J. Svensson, Lena Peterson, Huimin Li, Xing Yu Jiang, Peiqing Wang, W.-C. Liu
    AC-1: a clock-powered microprocessor. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1997, pp:328-333 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002