The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Low Power Electronics and Design (islped)
2001 (conf/islped/2001)

  1. Jan M. Rabaey
    Wireless beyond the third generation wireless beyond the third generation: facing the energy challenge. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:1-3 [Conf]
  2. Baruch Solomon, Avi Mendelson, Doron Orenstein, Yoav Almog, Ronny Ronen
    Micro-operation cache: a power aware frontend for the variable instruction length ISA. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:4-9 [Conf]
  3. Michael C. Huang, Jose Renau, Seung-Moon Yoo, Josep Torrellas
    L1 data cache decomposition for energy efficiency. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:10-15 [Conf]
  4. Amirali Baniasadi, Andreas Moshovos
    Instruction flow-based front-end throttling for power-aware high-performance processors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:16-21 [Conf]
  5. Vasily G. Moshnyaga
    Energy reduction in queues and stacks by adaptive bitwidth compression. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:22-27 [Conf]
  6. Johan A. Pouwelse, Koen Langendoen, Henk J. Sips
    Energy priority scheduling for variable voltage processors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:28-33 [Conf]
  7. Chaeseok Im, Huiseok Kim, Soonhoi Ha
    Dynamic voltage scheduling technique for low-power multimedia applications using buffers. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:34-39 [Conf]
  8. Han-Saem Yun, Jihong Kim
    Power-aware modulo scheduling for high-performance VLIW processors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:40-45 [Conf]
  9. Flavius Gruian
    Hard real-time scheduling for low-energy using stochastic data and DVS processors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:46-51 [Conf]
  10. Dejan Markovic, Borivoje Nikolic, Robert W. Brodersen
    Analysis and design of low-energy flip-flops. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:52-55 [Conf]
  11. Hoang Q. Dao, Kevin J. Nowka, Vojin G. Oklobdzija
    Analysis of clocked timing elements for dynamic voltage scaling effects over process parameter variation. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:56-59 [Conf]
  12. Soontae Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Anand Sivasubramaniam, Mary Jane Irwin, E. Geethanjali
    Power-aware partitioned cache architectures. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:64-67 [Conf]
  13. Atila Alvandpour, Ram Krishnamurthy, K. Soumyanath, Shekhar Borkar
    A low-leakage dynamic multi-ported register file in 0.13mm CMOS. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:68-71 [Conf]
  14. Jun Yang, Rajiv Gupta
    Energy-efficient load and store reuse. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:72-75 [Conf]
  15. Mahmut T. Kandemir, J. Ramanujam, Ugur Sezer
    Compiler support for block buffering. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:76-79 [Conf]
  16. Eui-Young Chung, Luca Benini, Giovanni De Micheli
    Automatic source code specialization for energy reduction. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:80-83 [Conf]
  17. Jun Yang, Rajiv Gupta
    FV encoding for low-power data I/O. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:84-87 [Conf]
  18. Daler N. Rakhmatov, Sarma B. K. Vrudhula
    Time-to-failure estimation for batteries in portable electronic systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:88-91 [Conf]
  19. Vlasios Tsiatsis, Scott Zimbeck, Mani B. Srivastava
    Architecture strategies for energy-efficient packet forwarding in wireless sensor networks. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:92-95 [Conf]
  20. Curt Schurgers, Olivier Aberthorne, Mani B. Srivastava
    Modulation scaling for Energy Aware Communication Systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:96-99 [Conf]
  21. Christian Belady
    Cooling and power consideration for semiconductors into the next century. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:100-105 [Conf]
  22. Andrew Wang, Seong-Hwan Cho, Charles Sodini, Anantha Chandrakasan
    Energy efficient Modulation and MAC for Asymmetric RF Microsensor Systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:106-111 [Conf]
  23. Song Ye, Koji Yano, C. Andre T. Salama
    1 V, 1.9 GHz mixer using a lateral bipolar transistor in CMOS. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:112-116 [Conf]
  24. Mohamed Mostafa, Sherif H. K. Embabi, Mostafa Elmala
    A 60dB, 246MHz CMOS variable gain amplifier for subsampling GSM receivers. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:117-122 [Conf]
  25. Hyunsik Im, T. Inukai, H. Gomyo, T. Hiramoto, T. Sakurai
    VTCMOS characteristics and its optimum conditions predicted by a compact analytical model. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:123-128 [Conf]
  26. Xiaobo Fan, Carla Schlatter Ellis, Alvin R. Lebeck
    Memory controller policies for DRAM power management. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:129-134 [Conf]
  27. Russ Joseph, Margaret Martonosi
    Run-time power estimation in high performance microprocessors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:135-140 [Conf]
  28. Phillip Stanley-Marbell, Michael S. Hsiao
    Fast, flexible, cycle-accurate energy estimation. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:141-146 [Conf]
  29. James Tschanz, Siva Narendra, Zhanping Chen, Shekhar Borkar, Manoj Sachdev, Vivek De
    Comparative delay and energy of single edge-triggered & dual edge-triggered pulsed flip-flops for high-performance microprocessors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:147-152 [Conf]
  30. Joong-Seok Moon, William C. Athas, Peter A. Beerel
    Theory and practical implementation of harmonic resonant rail driver. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:153-158 [Conf]
  31. Conrad H. Ziesler, Suhwan Kim, Marios C. Papaefthymiou
    A resonant clock generator for single-phase adiabatic systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:159-164 [Conf]
  32. Stephen V. Kosonocky, Michael Immediato, Peter E. Cottrell, Terence B. Hook, Randy W. Mann, Jeff Brown
    Enchanced multi-threshold (MTCMOS) circuits using variable well bias. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:165-169 [Conf]
  33. Alessandro Bogliolo
    Encodings for high-performance for energy-efficient signaling. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:170-175 [Conf]
  34. Luca Macchiarulo, Enrico Macii, Massimo Poncino
    Low-energy for deep-submicron address buses. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:176-181 [Conf]
  35. Yazdan Aghaghiri, Farzan Fallah, Massoud Pedram
    Irredundant address bus encoding for low power. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:182-187 [Conf]
  36. Mahesh Mamidipaka, Daniel S. Hirschberg, Nikil Dutt
    Low power address encoding using self-organizing lists. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:188-193 [Conf]
  37. Deborah Estrin
    Wireless sensor networks: application driver for low power distributed systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:194- [Conf]
  38. Siva Narendra, Vivek De, Dimitri Antoniadis, Anantha Chandrakasan, Shekhar Borkar
    Scaling of stack effect and its application for leakage reduction. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:195-200 [Conf]
  39. Takashi Inukai, Toshiro Hiramoto, Takayasu Sakurai
    Variable threshold CMOS (VTCMOS) in series connected circuits. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:201-206 [Conf]
  40. Ali Keshavarzi, Sean Ma, Siva Narendra, B. Bloechel, K. Mistry, T. Ghani, Shekhar Borkar, Vivek De
    Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:207-212 [Conf]
  41. Rongtian Zhang, Kaushik Roy, David B. Janes
    Double-gate fully-depleted SOI transistors for low-power high-performance nano-scale circuit design. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:213-218 [Conf]
  42. Frank Vahid, Ann Gordon-Ross
    A self-optimizing embedded microprocessor using a loop table for low power. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:219-224 [Conf]
  43. Daehong Kim, Dongwan Shin, Kiyoung Choi
    Low power pipelining of linear systems: a common operand centric approach. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:225-230 [Conf]
  44. Yun Cao, Hiroto Yasuura
    A system-level energy minimization approach using datapath width optimization. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:231-236 [Conf]
  45. Gurhan Kucuk, Kanad Ghose, Dmitry Ponomarev, Peter M. Kogge
    Energy: efficient instruction dispatch buffer design for superscalar processors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:237-242 [Conf]
  46. Tirdad Sowlati, Vickram Vathulya, Domine Leenaerts
    High density capacitance structures in submicron CMOS for low power RF application. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:243-246 [Conf]
  47. Ahmed Mostafa, Mourad N. El-Gamal
    A CMOS VCO architecture suitable for sub-1 volt high-frequency (8.7-10 GHz) RF applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:247-250 [Conf]
  48. Charles Chien, Igor Elgorriaga, Charles McConaghy
    Low-power direct-sequence spread-spectrum modem architecture for distributed wireless sensor networks. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:251-254 [Conf]
  49. Vjekoslav Svilan, James B. Burr, G. Tyler
    Effects of elevated temperature on tunable near-zero threshold CMOS. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:255-258 [Conf]
  50. Koji Fujii, Takakuni Douseki, Yuichi Kado
    A sub-1V dual-threshold domino circuit using product-of-sum logic. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:259-262 [Conf]
  51. W. Chen, Wei Hwang, P. Kudva, George Gristede, Stephen V. Kosonocky, Rajiv V. Joshi
    Mixed multi-threshold differential cascode voltage switch (MT-DCVS) circuit styles and strategies for low power VLSI design. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:263-266 [Conf]
  52. Naran Sirisantana, Aiqun Cao, Shawn Davidson, Cheng-Kok Koh, Kaushik Roy
    Selectively clocked skewed logic (SCSL): low-power logic style for high-performance applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:267-270 [Conf]
  53. Dongkun Shin, Jihong Kim
    A profile-based energy-efficient intra-task voltage scheduling algorithm for real-time applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:271-274 [Conf]
  54. Chung-Hsing Hsu, Ulrich Kremer, Michael S. Hsiao
    Compiler-directed dynamic voltage/frequency scheduling for energy reduction in mircoprocessors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:275-278 [Conf]
  55. Ali Manzak, Chaitali Chakrabarti
    Variable voltage task scheduling algorithms for minimizing energy. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:279-282 [Conf]
  56. Masayuki Hirabayashi, Koichi Nose, Takayasu Sakurai
    Design methodology and optimization strategy for dual-VTH scheme using commercially available tools. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:283-286 [Conf]
  57. Mario R. Casu, Gianluca Piccinini, Guido Masera, Maurizio Zamboni
    Synthesis of low-leakage PD-SOI circuits with body-biasing. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:287-290 [Conf]
  58. Rob A. Rutenbar, L. Richard Carley, Roberto Zafalon, Nicola Dragone
    Low-power technology mapping for mixed-swing logic. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:291-294 [Conf]
  59. Srinivas Bodapati, Farid N. Najm
    Frequency-domain supply current macro-model. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:295-298 [Conf]
  60. Rola A. Baki, Mourad N. El-Gamal
    A low-power, 5-70MHz, 7th-order filter with programmable boost, group delay, and gain using instantaneous companding. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:299-304 [Conf]
  61. Takeshi Fukumoto, Hiroyuki Okada, Kazuyuki Nakamura
    Optimizing bias-circuit design of cascode operational amplifier for wide dynamic range operations. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:305-309 [Conf]
  62. Louis S. Y. Wong, Shohan Hossain, Andre Walker
    Leakage current cancellation technique for low power switched-capacitor circuits. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:310-315 [Conf]
  63. Kwang-Bo Cho, Alexander Krymski, Eric R. Fossum
    A 3-pin 1.5 V 550 mW 176 x 144 self-clocked CMOS active pixel image sensor. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:316-321 [Conf]
  64. Luca Benini, Alberto Macii, Alberto Nannarelli
    Cached-code compression for energy minimization in embedded processors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:322-327 [Conf]
  65. David Garrett, Bing Xu, Chris Nicol
    Energy efficient turbo decoding for 3G mobile. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:328-333 [Conf]
  66. Lei Wang, Naresh R. Shanbhag
    Low-power AEC-based MIMO signal processing for gigabit ethernet 1000Base-T transceivers. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:334-339 [Conf]
  67. Emil Talpes, Diana Marculescu
    Power reduction through work reuse. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:340-345 [Conf]
  68. Victor V. Zyuban, D. Meltzer
    Clocking strategies and scannable latches for low power appliacations. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:346-351 [Conf]
  69. Hyung-il Kim, Kaushik Roy
    Ultra-low power DLMS adaptive filter for hearing aid applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:352-357 [Conf]
  70. Seiji Miura, Kazushige Ayukawa, Takao Watanabe
    A dynamic-SDRAM-mode-control scheme for low-power systems with a 32-bit RISC CPU. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:358-363 [Conf]
  71. Paul-Peter Sotiriadis, Theodoros Konstantakopoulos, Anantha Chandrakasan
    Analysis and implementation of charge recycling for deep sub-micron buses. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:364-369 [Conf]
  72. Youngsoo Shin, Takayasu Sakurai
    Estimation of power distribution in VLSI interconnects. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:370-375 [Conf]
  73. Sudhakar Bobba, Ibrahim N. Hajj
    Maximum voltage variation in the power distribution network of VLSI circuits with RLC models. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:376-381 [Conf]
  74. Sung Park, Andreas Savvides, Mani B. Srivastava
    Battery capacity measurement and analysis using lithium coin cell battery. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:382-387 [Conf]
  75. Rajendran Panda, Savithri Sundareswaran, David Blaauw
    On the interaction of power distribution network with substrate. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2001, pp:388-393 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002