The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Low Power Electronics and Design (islped)
1999 (conf/islped/1999)

  1. A. A. Abidi, Houshang Darabi
    Low power RF integrated circuits: principles and practice. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:1-6 [Conf]
  2. Finn Müller, Nikolai Bisgaard, John Melanson
    Algorithm and architecture of a 1V low power hearing instrument DSP. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:7-11 [Conf]
  3. Hiroki Morimura, Satoshi Shigematsu, Shinsuke Konaka
    A shared-bitline SRAM cell architecture for 1-V ultra low-power word-bit configurable macrocells. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:12-17 [Conf]
  4. Nestoras Tzartzanis, William C. Athas
    Retractile clock-powered logic. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:18-23 [Conf]
  5. Ganesh Balamurugan, Naresh R. Shanbhag
    Energy-efficient dynamic circuit design in the presence of crosstalk noise. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:24-29 [Conf]
  6. Rajamohana Hegde, Naresh R. Shanbhag
    Energy-efficient signal processing via algorithmic noise-tolerance. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:30-35 [Conf]
  7. Oliver Yuk-Hang Leung, Chung-Wai Yue, Chi-Ying Tsui, Roger S. Cheng
    Reducing power consumption of turbo code decoder using adaptive iteration with variable supply voltage. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:36-41 [Conf]
  8. Christopher Deng, Charles Chien
    A low energy architecture for fast PN acquisition. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:42-47 [Conf]
  9. Scott Meninger, Jose Oscar Mur-Miranda, Rajeevan Amirtharajah, Anantha Chandrakasan, Jeffrey Lang
    Vibration-to-electric energy conversion. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:48-53 [Conf]
  10. Fuyuki Ichiba, Kojiro Suzuki, Shinji Mita, Tadahiro Kuroda, Tohru Furuyama
    Variable supply-voltage scheme with 95%-efficiency DC-DC converter for MPEG-4 codec. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:54-59 [Conf]
  11. Vladimir Koifman, Yachin Afek, Joseph Shor
    Circuit methods for the integration of low voltage (1.1-1.8V) analog functions on system-on-a-chip IC's in a single-poly CMOS processes. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:60-63 [Conf]
  12. Nikolaos Bellas, Ibrahim N. Hajj, Constantine D. Polychronopoulos
    Using dynamic cache management techniques to reduce energy in a high-performance processor. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:64-69 [Conf]
  13. Kanad Ghose, Milind B. Kamble
    Reducing power in superscalar processor caches using subbanking, multiple line buffers and bit-line segmentation. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:70-75 [Conf]
  14. Curt Schurgers, Francky Catthoor, Marc Engels
    Energy efficient data transfer and storage organization for a MAP turbo decoder module. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:76-81 [Conf]
  15. Bharath Ramasubramanian, Herman Schmit, L. Richard Carley
    Mixed-swing quadrail for low power dual-rail domino logic. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:82-84 [Conf]
  16. Benjamin Bishop, Mary Jane Irwin
    Databus charge recovery: practical considerations. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:85-87 [Conf]
  17. You-Sung Chang, Bong-Il Park, Chong-Min Kyung
    Conforming inverted data store for low power memory. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:91-93 [Conf]
  18. Hendrawan Soeleman, Kaushik Roy
    Ultra-low power digital subthreshold logic circuits. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:94-96 [Conf]
  19. Suhwan Kim, Marios C. Papaefthymiou
    Single-phase source-coupled adiabatic logic. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:97-99 [Conf]
  20. Yumin Zhang, Xiaobo Hu, Danny Z. Chen
    Global register allocation for minimizing energy consumption. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:100-102 [Conf]
  21. Subodh Gupta, Farid N. Najm
    Power macro-models for DSP blocks with application to high-level synthesis. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:103-105 [Conf]
  22. L. Richard Carley, Akshay Aggarwal
    A completey on-chip voltage regulation technique for low power digital circuits. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:109-111 [Conf]
  23. Christoph Schwoerer, Dominique Morche, Patrice Senn
    Comparison of class A amplifiers for low-power and low-voltage switched capacitor applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:112-114 [Conf]
  24. Lars Kruse, Eike Schmidt, Gerd Jochens, Wolfgang Nebel
    Lower and upper bounds on the switching activity in scheduled data flow graphs. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:115-120 [Conf]
  25. Subodh Gupta, Farid N. Najm
    Energy-per-cycle estimation at RTL. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:121-126 [Conf]
  26. Alessandro Bogliolo, Luca Benini, Bruno Riccò, Giovanni De Micheli
    Efficient switching activity computation during high-level synthesis of control-dominated designs. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:127-132 [Conf]
  27. Radu Marculescu, Diana Marculescu, Massoud Pedram
    Non-stationary effects in trace-driven power analysis. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:133-138 [Conf]
  28. Vijay Sundararajan, Keshab K. Parhi
    Low power synthesis of dual threshold voltage CMOS VLSI circuits. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:139-144 [Conf]
  29. Jatuchai Pangjun, Sachin S. Sapatnekar
    Clock distribution using multiple voltages. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:145-150 [Conf]
  30. Yi-Min Jiang, Tak K. Young, Kwang-Ting Cheng
    VIP - an input pattern generator for indentifying critical voltage drop for deep sub-micron designs. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:156-161 [Conf]
  31. Vivek De, Shekhar Borkar
    Technology and design challenges for low power and high performance. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:163-168 [Conf]
  32. Rajeevan Amirtharajah, Thucydides Xanthopoulos, Anantha Chandrakasan
    Power scalable processing using distributed arithmetic. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:170-175 [Conf]
  33. David Garrett, Mircea R. Stan, Alvar Dean
    Challenges in clockgating for a low power ASIC methodology. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:176-181 [Conf]
  34. William E. Dougherty, Donald E. Thomas
    Modeling and automating selection of guarding techniques for datapath elements. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:182-187 [Conf]
  35. George Varghese, Hui Zhang, Jan M. Rabaey
    The design of a low energy FPGA. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:188-193 [Conf]
  36. Qinru Qiu, Qing Wu, Massoud Pedram
    Stochastic modeling of a power-managed system: construction and optimization. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:194-199 [Conf]
  37. Thomas L. Martin, Daniel P. Siewiorek
    The impact of battery capacity and memory bandwidth on CPU speed-setting: a case study. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:200-205 [Conf]
  38. Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino
    Selective instruction compression for memory energy reduction in embedded systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:206-211 [Conf]
  39. Tajana Simunic, Luca Benini, Giovanni De Micheli
    Energy-efficient design of battery-powered embedded systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:212-217 [Conf]
  40. Ruchir Puri, Ching-Te Chuang
    Hysteresis effect in floating-body partially-depleted SOI CMOS domino circuits. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:223-228 [Conf]
  41. Thierry Melly, Alain-Serge Porret, Christian C. Enz, M. Kayal, E. A. Vittoz
    A 1.2V, 430MHz, 4dBm power amplifier and a 250muW front-end, using a standard digital CMOS process. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:233-237 [Conf]
  42. Razieh Rofougaran, Tsung-Hsien Lin, William J. Kaiser
    CMOS front-end LNA-mixer of micropower RF wireless systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:238-242 [Conf]
  43. Ayman ElSayed, Akbar Ali, Mohamed I. Elmasry
    Differential PLL for wireless applications using differential CMOS LC-VCO and differential charge pump. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:243-248 [Conf]
  44. Samuel B. Schaevitz, Christopher Lin
    Passive precharge and rippled power logic (PPRPL). [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:249-251 [Conf]
  45. Ali Keshavarzi, Siva Narendra, Shekhar Borkar, Charles F. Hawkins, Kaushik Roy, Vivek De
    Technology scaling behavior of optimum reverse body bias for standby leakage power reduction in CMOS IC's. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:252-254 [Conf]
  46. Mondira Deb Pant, Pankaj Pant, D. Scott Wills, Vivek Tiwari
    An architectural solution for the inductive noise problem due to clock-gating. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:255-257 [Conf]
  47. Sumant Ramprasad, Ibrahim N. Hajj, Farid N. Najm
    An optimization technique for dual-output domino logic. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:258-260 [Conf]
  48. Peter A. Beerel, Sangyun Kim, Pei-Chuan Yeh, Kyeounsoo Kim
    Statistically optimized asynchronous barrel shifters for variable length codecs. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:261-263 [Conf]
  49. Pascal C. H. Meier, Rob A. Rutenbar, L. Richard Carley
    Inverse polarity techniques for high-speed/low-power multipliers. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:264-266 [Conf]
  50. Lea Hwang Lee, Bill Moyer, John Arends
    Instruction fetch energy reduction using loop caches for embedded applications with small tight loops. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:267-269 [Conf]
  51. Kostas Masselos, Koen Danckaert, Francky Catthoor, Constantinos E. Goutis, Hugo De Man
    A methodology for power efficient partitioning of data-dominated algorithm specifications within performance constraints. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:270-272 [Conf]
  52. Koji Inoue, Tohru Ishihara, Kazuaki Murakami
    Way-predicting set-associative cache for high performance and low energy consumption. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:273-275 [Conf]
  53. Chunho Lee, Johnson Kin, Miodrag Potkonjak, William H. Mangione-Smith
    Designing power efficient hypermedia processors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:276-278 [Conf]
  54. HoonSang Jin, Myung-Soo Jang, Jin-Suk Song, Jin-Yong Lee, Taek-Soo Kim, Jeong-Taek Kong
    Dynamic power estimation using the probabilistic contribution measure (PCM). [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:279-281 [Conf]
  55. Fari Assaderaghi
    Circuit styles and strategies for CMOS VLSI design on SOI. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:282-287 [Conf]
  56. Luca Benini, Giovanni De Micheli
    System-level power optimization: techniques and tools. [Citation Graph (0, 0)][DBLP]
    ISLPED, 1999, pp:288-293 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002