The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Low Power Electronics and Design (islped)
2000 (conf/islped/2000)

  1. Khalil Najafi
    Low-power micromachined microsystems (invited talk). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:1-8 [Conf]
  2. Thomas D. Burd, Robert W. Brodersen
    Design issues for dynamic voltage scaling. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:9-14 [Conf]
  3. Nicola Dragone, Akshay Aggarwal, L. Richard Carley
    An adaptive on-chip voltage regulation technique for low-power applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:20-24 [Conf]
  4. Hendrawan Soeleman, Kaushik Roy, Bipul Chandra Paul
    Robust ultra-low power sub-threshold DTMOS logic. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:25-30 [Conf]
  5. Amit Sinha, Alice Wang, Anantha Chandrakasan
    Algorithmic transforms for efficient energy scalable computation. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:31-36 [Conf]
  6. Yung-Hsiang Lu, Luca Benini, Giovanni De Micheli
    Operating-system directed power reduction. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:37-42 [Conf]
  7. Gang Qu, Miodrag Potkonjak
    Energy minimization with guaranteed quality of service. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:43-49 [Conf]
  8. Tajana Simunic, Haris Vikalo, Peter W. Glynn, Giovanni De Micheli
    Energy efficient design of portable wireless systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:49-54 [Conf]
  9. P. Cusinato, F. Stefani, A. Baschirotto
    Power consumption reduction in high-speed Sigma-Delta bandpass modulators. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:55-60 [Conf]
  10. Mattias Duppils, Christer Svensson
    Low power mixed analog-digital signal processing. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:61-66 [Conf]
  11. Andrea Pallotta, Francesco Centurelli, Alessandro Trifiletti
    A low-power clock and data recovery circuit for 2.5 Gb/s SDH receivers. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:67-72 [Conf]
  12. Silvio Bolliri, Paolo Porcu, Luigi Raffo
    A micro-power mixed signal IC for battery-operated burglar alarm systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:73-77 [Conf]
  13. Luca Benini, Alberto Macii, Massimo Poncino
    A recursive algorithm for low-power memory partitioning. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:78-83 [Conf]
  14. Victor V. Zyuban, Peter M. Kogge
    Optimization of high-performance superscalar architectures for energy efficiency. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:84-89 [Conf]
  15. Trevor Pering, Thomas D. Burd, Robert W. Brodersen
    Voltage scheduling in the IpARM microprocessor system. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:96-101 [Conf]
  16. Jason M. Musicer, Jan M. Rabaey
    MOS current mode logic for low power, low noise CORDIC computation in mixed-signal environments. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:102-107 [Conf]
  17. Ki-Wook Kim, Seong-Ook Jung, Unni Narayanan, C. L. Liu, Sung-Mo Kang
    Noise-aware power optimization for on-chip interconnect. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:108-113 [Conf]
  18. Antonio G. M. Strollo, E. Napoli, Davide De Caro
    New clock-gating techniques for low-power flip-flops. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:114-119 [Conf]
  19. Tudor Vinereanu, Sverre Lidholm
    An improved pass transistor synthesis method for low power, high speed CMOS circuits. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:120-124 [Conf]
  20. Gang Qu, Miodrag Potkonjak
    Achieving utility arbitrarily close to the optimal with limited energy. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:125-130 [Conf]
  21. Junghwan Choi, Jinhwan Jeon, Kiyoung Choi
    Power minimization of functional units partially guarded computation. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:131-136 [Conf]
  22. Erik Brockmeyer, Arnout Vandecappelle, Francky Catthoor
    Systematic cycle budget versus system power trade-off: a new perspective on system exploration of real-time data-dominated applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:137-142 [Conf]
  23. Xunwei Wu, Massoud Pedram
    Low power sequential circuit design by using priority encoding and clock gating. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:143-148 [Conf]
  24. Giovanni De Micheli, Tony Correale, Pietro Erratico, Srini Raghvendra, Hugo De Man, Jerry Frankil, Vivek Tiwari
    Do our low-power tools have enough horse power? (panel session) (title only). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:149- [Conf]
  25. Sven Mattisson
    Low-power considerations in the design of bluetooth (invited talk). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:151-154 [Conf]
  26. Mohamed W. Allam, Mohab Anis, Mohamed I. Elmasry
    High-speed dynamic logic styles for scaled-down CMOS and MTCMOS technologies. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:155-160 [Conf]
  27. Jun-Ho Kwon, Joonho Lim, Soo-Ik Chae
    A three-port nRERL register file for ultra-low-energy applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:161-166 [Conf]
  28. Raguraman Venkatesan, Jeffrey A. Davis, Keith A. Bowman, James D. Meindl
    Minimum power and area n-tier multilevel interconnect architectures using optimal repeater insertion. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:167-172 [Conf]
  29. William C. Athas
    Practical considerations of clock-powered logic. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:173-178 [Conf]
  30. Rajendran Panda, David Blaauw, Rajat Chaudhry, Vladimir Zolotov, Brian Young, Ravi Ramaraju
    Model and analysis for combined package and on-chip power grid simulation. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:179-184 [Conf]
  31. Naehyuck Chang, Kwanho Kim, Hyung Gyu Lee
    Cycle-accurate energy consumption measurement and analysis: case study of ARM7TDMI. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:185-190 [Conf]
  32. Akshaye Sama, J. F. M. Theeuwen, M. Balakrishnan
    Speeding up power estimation of embedded software. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:191-196 [Conf]
  33. Kavel M. Büyüksahin, Farid N. Najm
    High-level power estimation with interconnect effects. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:197-202 [Conf]
  34. Rajiv V. Joshi, Wei Hwang, S. C. Wilson, Ching-Te Chuang
    "Cool low power" 1GHz multi-port register file and dynamic latch in 1.8 V, 0.25 mum SOI and bulk technology (poster session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:203-206 [Conf]
  35. Sandeep Dhar, Dragan Maksimovic
    Low-power digital filtering using multiple voltage distribution and adaptive voltage scaling (poster session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:207-209 [Conf]
  36. Jae-Hee Won, Kiyoung Choi
    Low power self-timed Radix-2 division (poster session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:210-212 [Conf]
  37. Lama H. Chandrasena, Michael J. Liebelt
    A rate selection algorithm for quantized undithered dynamic supply voltage scaling (poster session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:213-215 [Conf]
  38. Andrea Gerosa, Arianna Novo, Andrea Neviani
    Low-power sensing and digitization of cardiac signals based on sigma-delta conversion (poster session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:216-218 [Conf]
  39. Friedel Gerfers, Yiannos Manoli
    A 1.5V low-power third order continuous-time lowpass Sigma-Delta A/D converter (poster session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:219-221 [Conf]
  40. Chunlei Shi, Yue Wu, Mohammed Ismail
    Design of a low-power CMOS baseband circuit for wideband CDMA testbed (poster session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:222-224 [Conf]
  41. Carl James Debono, Franco Maloberti, Joseph Micallef
    A low-voltage CMOS multiplier for RF applications (poster session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:225-227 [Conf]
  42. Koichi Nose, Soo-Ik Chae, Takayasu Sakurai
    Voltage dependent gate capacitance and its impact in estimating power and delay of CMOS digital circuits with low supply voltage (poster session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:228-230 [Conf]
  43. Kanad Ghose
    Reducing energy requirements for instruction issue and dispatch in superscalar microprocessors (poster session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:231-233 [Conf]
  44. Kostas Masselos, S. Theoharis, Panagiotis Merakos, Thanos Stouraitis, Constantinos E. Goutis
    Low power synthesis of sum-of-products computation (poster session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:234-237 [Conf]
  45. Andrea Acquaviva, Riccardo Scarsi
    A spatially-adaptive bus interface for low-switching communication (poster session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:238-240 [Conf]
  46. Afzal Malik, Bill Moyer, Dan Cermak
    A low power unified cache architecture providing power and performance flexibility (poster session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:241-243 [Conf]
  47. G. Esakkimuthu, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin
    Memory system energy (poster session): influence of hardware-software optimizations. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:244-246 [Conf]
  48. Sathishkumar Udayanarayanan, Chaitali Chakrabarti
    Energy-efficient code generation for DSP56000 family (poster session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:247-249 [Conf]
  49. Wei-Chung Cheng, Massoud Pedram
    Power-optimal encoding for DRAM address bus (poster session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:250-252 [Conf]
  50. Diana Marculescu
    Profile-driven code execution for low power dissipation (poster session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:253-255 [Conf]
  51. Kyeounsoo Kim, Peter A. Beerel, Youpyo Hong
    An asynchronous matrix-vector multiplier for discrete cosine transform. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:256-261 [Conf]
  52. Khurram Muhammad, Robert B. Staszewski, Poras T. Balsara
    Low power techniques and design tradeoffs in adaptive FIR filtering for PRML read channels. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:262-267 [Conf]
  53. Vjekoslav Svilan, Masataka Matsui, James B. Burr
    Energy-efficient 32 × 32-bit multiplier in tunable near-zero threshold CMOS. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:268-272 [Conf]
  54. Alain-Serge Porret, Thierry Melly, E. A. Vittoz, Christian C. Enz
    Tradeoffs and design of an ultra low power UHF transceiver integrated in a standard digital CMOS process. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:273-278 [Conf]
  55. F. Svelto, S. Deantoni, G. Montagna, R. Castello
    An 8mA, 3.8dB NF, 40dB gain CMOS front-end for GPS applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:279-283 [Conf]
  56. Tirdad Sowlati, Sifen Luo
    Bias boosting technique for a 1.9GHz class AB RF amplifier. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:284-288 [Conf]
  57. Liang Dai, Ramesh Harjani
    Analysis and design of low-phase-noise ring oscillators. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:289-294 [Conf]
  58. Naresh R. Shanbhag, K. Soumyanath, Samuel Martin
    Reliable low-power design in the presence of deep submicron noise (embedded tutorial session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:295-302 [Conf]
  59. Ingrid Verbauwhede, Chris Nicol
    Low power DSP's for wireless communications (embedded tutorial session). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2000, pp:303-310 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002