The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Low Power Electronics and Design (islped)
2006 (conf/islped/2006)

  1. Cristoph Kutter
    Design challenges for mobile communication devices. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:1- [Conf]
  2. Arijit Raychowdhury, Xuanyao Fong, Qikai Chen, Kaushik Roy
    Analysis of super cut-off transistors for ultralow power digital logic circuits. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:2-7 [Conf]
  3. Joyce Kwong, Anantha P. Chandrakasan
    Variation-driven device sizing for minimum energy sub-threshold circuits. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:8-13 [Conf]
  4. Ik Joon Chang, Jae-Joon Kim, Kaushik Roy
    Robust level converter design for sub-threshold logic. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:14-19 [Conf]
  5. Nathaniel Guilar, Albert Chen, Travis Kleeburg, Rajeevan Amirtharajah
    Integrated solar energy harvesting and storage. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:20-24 [Conf]
  6. Samuel Rodríguez, Bruce Jacob
    Energy/power breakdown of pipelined nanometer caches (90nm/65nm/45nm/32nm). [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:25-30 [Conf]
  7. Eric L. Hill, Mikko H. Lipasti
    Stall cycle redistribution in a transparent fetch pipeline. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:31-36 [Conf]
  8. Deniz Balkan, Joseph J. Sharkey, Dmitry Ponomarev, Kanad Ghose
    Selective writeback: exploiting transient values for energy-efficiency and performance. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:37-42 [Conf]
  9. Hiroshi Sasaki, Masaaki Kondo, Hiroshi Nakamura
    Energy-efficient dynamic instruction scheduling logic through instruction grouping. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:43-48 [Conf]
  10. Grigorios Magklis, Pedro Chaparro, José González, Antonio González
    Independent front-end and back-end dynamic voltage scaling for a GALS microarchitecture. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:49-54 [Conf]
  11. YongKang Zhu, David H. Albonesi
    Synergistic temperature and energy management in GALS processor architectures. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:55-60 [Conf]
  12. Hidehiro Fujiwara, Koji Nii, Junichi Miyakoshi, Yuichiro Murachi, Yasuhiro Morita, Hiroshi Kawaguchi, Masahiko Yoshimoto
    A two-port SRAM for real-time video processor saving 53% of bitline power with majority logic and data-bit reordering. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:61-66 [Conf]
  13. Jonggab Kil, Jie Gu, Chris H. Kim
    A high-speed variation-tolerant interconnect technique for sub threshold circuits using capacitive boosting. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:67-72 [Conf]
  14. Harmander Deogun, Robert M. Senger, Dennis Sylvester, Richard B. Brown, Kevin J. Nowka
    A dual-VDD boosted pulsed bus technique for low power and low leakage operation. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:73-78 [Conf]
  15. Keith A. Bowman, James Tschanz, Muhammad M. Khellah, Maged Ghoneima, Yehea I. Ismail, Vivek De
    Time-borrowing multi-cycle on-chip interconnects for delay variation tolerance. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:79-84 [Conf]
  16. Pong-Fei Lu, Nianzheng Cao, Leon J. Sigal, Pieter Woltgens, R. Robertazzi, David Heidel
    A pulsed low-voltage swing latch for reduced power dissipation in high-frequency microprocessors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:85-88 [Conf]
  17. Wei-Chung Cheng, Chih-Fu Hsu, Chain-Fu Chao
    Temporal vision-guided energy minimization for portable displays. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:89-94 [Conf]
  18. Jose Rizo-Morente, Miguel Casas-Sanchez, Chris J. Bleakley
    Dynamic current modeling at the instruction level. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:95-100 [Conf]
  19. Hyunseok Lee, Trevor N. Mudge, Chaitali Chakrabarti
    Reducing idle mode power in software defined radio terminals. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:101-106 [Conf]
  20. Maria G. Koziri, Georgios I. Stamoulis, Ioannis Katsavounidis
    Power reduction in an H.264 encoder through algorithmic and logic transformations. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:107-112 [Conf]
  21. Girish Varatkar, Naresh R. Shanbhag
    Energy-efficient motion estimation using error-tolerance. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:113-118 [Conf]
  22. Javid Jaffari, Mohab Anis
    Variability-aware device optimization under ION and leakage current constraints. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:119-122 [Conf]
  23. Riichiro Takemura, Kiyoo Itoh, Tomonori Sekiguchi
    A 0.5-V FD-SOI twin-cell DRAM with offset-free dynamic-VT sense amplifiers. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:123-126 [Conf]
  24. Tae-Hyoung Kim, Hanyong Eom, John Keane, Chris H. Kim
    Utilizing reverse short channel effect for optimal subthreshold circuit design. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:127-130 [Conf]
  25. Jabulani Nyathi, Brent Bero
    Logic circuits operating in subthreshold voltages. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:131-134 [Conf]
  26. Jianwei Zhang, Yizheng Ye, Binda Liu
    A new mismatch-dependent low power technique with shadow match-line voltage-detecting scheme for CAMs. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:135-138 [Conf]
  27. Shuo Wang, Lei Wang
    Thread-associative memory for multicore and multithreaded computing. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:139-142 [Conf]
  28. Chung-Hsiang Lin, Chia-Lin Yang, Ku-Jei King
    Hierarchical value cache encoding for off-chip data bus. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:143-146 [Conf]
  29. Lei Jin, Sangyeun Cho
    Reducing cache traffic and energy with macro data load. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:147-150 [Conf]
  30. Axel Reimer, Arne Schulz, Wolfgang Nebel
    Modelling macromodules for high-level dynamic power estimation of FPGA-based digital designs. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:151-154 [Conf]
  31. Hao Yu, Yiyu Shi, Lei He, Tanay Karnik
    Thermal via allocation for 3D ICs considering temporally and spatially variant thermal power. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:156-161 [Conf]
  32. Ashutosh Chakraborty, K. Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino
    Dynamic thermal clock skew compensation using tunable delay buffers. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:162-167 [Conf]
  33. Yan Lin, Yu Hu, Lei He, Vijay Raghunat
    An efficient chip-level time slack allocation algorithm for Dual-Vdd FPGA power reduction. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:168-173 [Conf]
  34. V. Mahalingam, N. Ranganathan, Justin E. Harlow III
    A novel approach for variation aware power minimization during gate sizing. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:174-179 [Conf]
  35. Jason Hsu, Sadaf Zahedi, Aman Kansal, Mani B. Srivastava, Vijay Raghunathan
    Adaptive duty cycling for energy harvesting systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:180-185 [Conf]
  36. Le Cai, Yung-Hsiang Lu
    Power reduction of multiple disks using dynamic cache resizing and speed control. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:186-190 [Conf]
  37. Qinru Qiu, Qing Wu, Daniel Burns, Douglas Holzhauer
    Lifetime aware resource management for sensor network using distributed genetic algorithm. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:191-196 [Conf]
  38. Farhan Simjee, Pai H. Chou
    Everlast: long-life, supercapacitor-operated wireless sensor node. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:197-202 [Conf]
  39. Sani R. Nassif
    Model to hardware matching: for nano-meter scale technologies. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:203-206 [Conf]
  40. Majid Sarrafzadeh, Foad Dabiri, Roozbeh Jafari, Tammara Massey, Ani Nahapetian
    Low power light-weight embedded systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:207-212 [Conf]
  41. Barry Dennington
    Low power design from technology challenge to great products. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:213- [Conf]
  42. Baozhen Yu, Michael L. Bushnell
    A novel dynamic power cutoff technique (DPCT) for active leakage reduction in deep submicron CMOS circuits. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:214-219 [Conf]
  43. Domenik Helms, Günter Ehmen, Wolfgang Nebel
    Analysis and modeling of subthreshold leakage of RT-components under PTV and state variation. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:220-225 [Conf]
  44. W. T. Cheung, N. Wong
    Power optimization in a repeater-inserted interconnect via geometric programming. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:226-231 [Conf]
  45. Fei Hu, Vishwani D. Agrawal
    Input-specific dynamic power optimization for VLSI circuits. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:232-237 [Conf]
  46. Yu Wang, Yongpan Liu, Rong Luo, Huazhong Yang, Hui Wang
    Two-phase fine-grain sleep transistor insertion technique in leakage critical circuits. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:238-243 [Conf]
  47. Hui Zeng, Kanad Ghose
    Register file caching for energy efficiency. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:244-249 [Conf]
  48. Elham Safi, Andreas Moshovos, Andreas G. Veneris
    L-CBF: a low-power, fast counting bloom filter architecture. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:250-255 [Conf]
  49. Mohammad Sharifkhani, Manoj Sachdev
    A low power SRAM architecture based on segmented virtual grounding. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:256-261 [Conf]
  50. Ke Meng, Russ Joseph
    Process variation aware cache leakage management. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:262-267 [Conf]
  51. Alok Garg, Fernando Castro, Michael C. Huang, Daniel Chaver, Luis Piñuel, Manuel Prieto
    Substituting associative load queue with simple hash tables in out-of-order microprocessors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:268-273 [Conf]
  52. Amin Shameli, Payam Heydari
    A novel power optimization technique for ultra-low power RFICs. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:274-279 [Conf]
  53. Alessio Facen, Andrea Boni
    A CMOS analog frontend for a passive UHF RFID tag. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:280-285 [Conf]
  54. Stephan Henzler, Siegmar Koeppe
    High-speed low-power frequency divider with intrinsic phase rotator. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:286-291 [Conf]
  55. Ravishankar Rao, Sarma B. K. Vrudhula, Chaitali Chakrabarti, Naehyuck Chang
    An optimal analytical solution for processor speed control with thermal constraints. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:292-297 [Conf]
  56. Vidyasagar Nookala, David J. Lilja, Sachin S. Sapatnekar
    Temperature-aware floorplanning of microarchitecture blocks with IPC-power dependence modeling and transient analysis. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:298-303 [Conf]
  57. James Donald, Margaret Martonosi
    Power efficiency for variation-tolerant multicore processors. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:304-309 [Conf]
  58. Yoonjin Kim, Ilhyun Park, Kiyoung Choi, Yunheung Paek
    Power-conscious configuration cache structure and code mapping for coarse-grained reconfigurable architecture. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:310-315 [Conf]
  59. Wonbok Lee, Kimish Patel, Massoud Pedram
    Dynamic thermal management for MPEG-2 decoding. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:316-321 [Conf]
  60. Song Guo, Hoi Lee
    A low-power active substrate-noise decoupling circuit with feedforward compensation for mixed-signal SoCs. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:322-325 [Conf]
  61. Changbo Long, Sasank Reddy, Sudhakar Pamarti, Lei He, Tanay Karnik
    Power-efficient pulse width modulation DC/DC converters with zero voltage switching control. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:326-329 [Conf]
  62. Anas A. Hamoui, T. Alhajj, Mohammad Taherzadeh-Sani
    Behavioral modeling of Opamp gain and dynamic effectsfor power optimization of Delta-Sigma modulators and pipelined ADCs. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:330-333 [Conf]
  63. Behnam Amelifard, Farzan Fallah, Massoud Pedram
    Low-power fanout optimization using MTCMOS and multi-Vt techniques. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:334-337 [Conf]
  64. Scott Hanson, Dennis Sylvester, David Blaauw
    A new technique for jointly optimizing gate sizing and supply voltage in ultra-low energy circuits. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:338-341 [Conf]
  65. Saumya Chandra, Kanishka Lahiri, Anand Raghunathan, Sujit Dey
    Considering process variations during system-level power analysis. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:342-345 [Conf]
  66. Mirko Loghi, Massimo Poncino, Luca Benini
    Synchronization-driven dynamic speed scaling for MPSoCs. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:346-349 [Conf]
  67. W. L. Bircher, L. K. John
    Power phase variation in a commercial server workload. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:350-353 [Conf]
  68. Mahmut T. Kandemir, Seung Woo Son
    Reducing power through compiler-directed barrier synchronization elimination. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:354-357 [Conf]
  69. Hakduran Koc, Ozcan Ozturk, Mahmut T. Kandemir, Sri Hari Krishna Narayanan, Ehat Ercanli
    Minimizing energy consumption of banked memories using data recomputation. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:358-362 [Conf]
  70. Scott Hanson, Bo Zhai, David Blaauw, Dennis Sylvester, Andres Bryant, Xinlin Wang
    Energy optimality and variability in subthreshold design. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:363-365 [Conf]
  71. Benton H. Calhoun, Alice Wang, Naveen Verma, Anantha Chandrakasan
    Sub-threshold design: the challenges of minimizing circuit energy. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:366-368 [Conf]
  72. Vijay Raghunathan, Pai H. Chou
    Design and power management of energy harvesting embedded systems. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:369-374 [Conf]
  73. Peter Wintermayr, Reiner W. Hartenstein, Heinrich Meyr, Steve Leibson
    Flexibility and low power: a contradiction in terms? [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:375- [Conf]
  74. Malav Shah
    Efficient scan-based BIST scheme for low power testing of VLSI chips. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:376-381 [Conf]
  75. Jie Gu, John Keane, Chris H. Kim
    Modeling and analysis of leakage induced damping effect in low voltage LSIs. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:382-387 [Conf]
  76. Hong-Wei Huang, Hsin-Hsin Ho, Ke-Horng Chen, Sy-Yen Kuo
    Dithering skip modulator with a novel load sensor for ultra-wide-load high-efficiency DC-DC converters. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:388-393 [Conf]
  77. Dongsheng Ma, Janet Meiling Wang, Pablo Vazquas
    Adaptive on-chip power supply with robust one-cycle control technique. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:394-399 [Conf]
  78. Dongsheng Ma
    Robust multiple-phase switched-capacitor DC-DC converter with digital interleaving regulation scheme. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:400-405 [Conf]
  79. Jie Jin, Chi-Ying Tsui
    A low power Viterbi decoder implementation using scarce state transition and path pruning scheme for high throughput wireless applications. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:406-411 [Conf]
  80. Feng Chen, Song Jiang, Xiaodong Zhang
    SmartSaver: turning flash drive into a disk energy saver for mobile computers. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:412-417 [Conf]
  81. Hung-Wei Tseng, Han-Lin Li, Chia-Lin Yang
    An energy-efficient virtual memory system with flash memory as the secondary storage. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:418-423 [Conf]
  82. Jianli Zhuo, Chaitali Chakrabarti, Naehyuck Chang, Sarma B. K. Vrudhula
    Maximizing the lifetime of embedded systems powered by fuel cell-battery hybrids. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2006, pp:424-429 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002