The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Physical Design (ISPD) (ispd)
2002 (conf/ispd/2002)

  1. Steven L. Teig
    Challenges and principles of physical design. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:3-4 [Conf]
  2. Ulrich Brenner, André Rohe
    An effective congestion driven placement framework. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:6-11 [Conf]
  3. Saurabh N. Adya, Igor L. Markov
    Consistent placement of macro-blocks using floorplanning and standard-cell placement. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:12-17 [Conf]
  4. Geoffrey C.-F. Yeap
    Leakage current in low standby power and high performance devices: trends and challenges. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:22-27 [Conf]
  5. Vivek De
    Leakage-tolerant design techniques for high performance processors. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:28-28 [Conf]
  6. Yongseok Cheon, D. F. Wong
    Design hierarchy guided multilevel circuit partitioning. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:30-35 [Conf]
  7. Chin-Chih Chang, Jason Cong, David Zhigang Pan
    Physical hierarchy generation with routing congestion control. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:36-41 [Conf]
  8. Xiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh
    Routability driven white space allocation for fixed-die standard-cell placement. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:42-47 [Conf]
  9. Chiu-Wing Sham, Evangeline F. Y. Young
    Routability driven floorplanner with buffer block planning. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:50-55 [Conf]
  10. Faran Rafiq, Malgorzata Chrzanowska-Jeske, Hannah Honghua Yang, Naveed A. Sherwani
    Integrated floorplanning with buffer/channel insertion for bus-based microprocessor designs. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:56-61 [Conf]
  11. Shuo Zhang, Wayne Wei-Ming Dai
    TEG: a new post-layout optimization method. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:62-67 [Conf]
  12. Haihua Su, Sachin S. Sapatnekar, Sani R. Nassif
    An algorithm for optimal decoupling capacitor sizing and placement for standard cell layouts. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:68-73 [Conf]
  13. Sung-Mo Kang
    On-chip thermal engineering for peta-scale integration. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:76-76 [Conf]
  14. Prashant Saxena, Satyanarayan Gupta
    Shield count minimization in congested regions. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:78-83 [Conf]
  15. Pinhong Chen, Yuji Kukimoto, Chin-Chi Teng, Kurt Keutzer
    On convergence of switching windows computation in presence of crosstalk noise. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:84-89 [Conf]
  16. Jiang Hu, Charles J. Alpert, Stephen T. Quay, Gopal Gandham
    Buffer insertion with adaptive blockage avoidance. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:92-97 [Conf]
  17. Milos Hrkic, John Lillis
    Buffer tree synthesis with consideration of temporal locality, sink polarity requirements, solution cost and blockages. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:98-103 [Conf]
  18. Charles J. Alpert, Chris C. N. Chu, Gopal Gandham, Milos Hrkic, Jiang Hu, Chandramouli V. Kashyap, Stephen T. Quay
    Simultaneous driver sizing and buffer insertion using a delay penalty estimation technique. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:104-109 [Conf]
  19. Andrew B. Kahng
    A roadmap and vision for physical design. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:112-117 [Conf]
  20. Chunhong Chen
    Physical design with multiple on-chip voltages. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:118-118 [Conf]
  21. Lauren Hui Chen, Malgorzata Marek-Sadowska
    Incremental delay change due to crosstalk noise. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:120-125 [Conf]
  22. Masanori Hashimoto, Masao Takahashi, Hidetoshi Onodera
    Crosstalk noise optimization by post-layout transistor sizing. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:126-130 [Conf]
  23. Davide Pandini, Lawrence T. Pileggi, Andrzej J. Strojwas
    Understanding and addressing the impact of wiring congestion during technology mapping. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:131-136 [Conf]
  24. Yu Chen, Andrew B. Kahng, Gabriel Robins, Alexander Zelikovsky
    Closing the smoothness and uniformity gap in area fill synthesis. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:137-142 [Conf]
  25. Andrew B. Kahng, Stefanus Mantik, Igor L. Markov
    Min-max placement for large-scale timing optimization. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:143-148 [Conf]
  26. Jason Cong, Chang Wu
    Global clustering-based performance-driven circuit partitioning. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:149-154 [Conf]
  27. H. Chang, Eugene Shragowitz, Jian Liu, Habib Youssef, Bing Lu, Suphachai Sutanthavibul
    Net criticality revisited: an effective method to improve timing in physical design. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:155-160 [Conf]
  28. Bo Hu, Malgorzata Marek-Sadowska
    FAR: fixed-points addition & relaxation based placement. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:161-166 [Conf]
  29. Jason Cong
    Timing closure based on physical hierarchy. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:170-174 [Conf]
  30. Seokjin Lee, D. F. Wong
    Timing-driven routing for FPGAs based on Lagrangian relaxation. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:176-181 [Conf]
  31. Hui Xu, Rob A. Rutenbar, Karem A. Sakallah
    sub-SAT: a formulation for relaxed boolean satisfiability with applications in routing. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:182-187 [Conf]
  32. Wai-Kei Mak, Evangeline F. Y. Young
    Temporal logic replication for dynamically reconfigurable FPGA partitioning. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:190-195 [Conf]
  33. Evangeline F. Y. Young, Chris C. N. Chu, Zion Cien Shen
    Twin binary sequences: a non-redundant representation for general non-slicing floorplan. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:196-201 [Conf]
  34. Luca Daniel, Chin Siong Ong, Sok Chay Low, Kwok Hong Lee, Jacob White
    Geometrically parameterized interconnect performance models for interconnect synthesis. [Citation Graph (0, 0)][DBLP]
    ISPD, 2002, pp:202-207 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002