The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Physical Design (ISPD) (ispd)
2003 (conf/ispd/2003)

  1. Raul Camposano
    Keynote Speaker. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:3- [Conf]
  2. Paul Villarrubia
    Important placement considerations for modern VLSI chips. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:6- [Conf]
  3. Ravi Varadarajan
    Convergence of placement technology in physical synthesis: is placement really a point tool? [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:7- [Conf]
  4. Ting-Yuan Wang, Yu-Min Lee, Charlie Chung-Ping Chen
    3D thermal-ADI: an efficient chip-level transient thermal simulator. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:10-17 [Conf]
  5. Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera
    Capturing crosstalk-induced waveform for accurate static timing analysis. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:18-23 [Conf]
  6. Chandramouli V. Kashyap, Charles J. Alpert, Frank Liu, Anirudh Devgan
    Closed form expressions for extending step delay and slew metrics to ramp inputs. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:24-31 [Conf]
  7. Muzhou Shao, Martin D. F. Wong, Huijing Cao, Youxin Gao, Li-Pen Yuan, Li-Da Huang, Seokjin Lee
    Explicit gate delay model for timing evaluation. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:32-38 [Conf]
  8. Murat R. Becer, Ravi Vaidyanathan, Chanhee Oh, Rajendran Panda
    Signal integrity management in an SoC physical design flow. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:39-46 [Conf]
  9. Leon Stok, John Cohn
    There is life left in ASICs. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:48-50 [Conf]
  10. Prashant Saxena, Noel Menezes, Pasquale Cocchini, Desmond Kirkpatrick
    The scaling challenge: can correct-by-construction design help? [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:51-58 [Conf]
  11. Karthik Rajagopal, Tal Shaked, Yegna Parasuram, Tung Cao, Amit Chowdhary, Bill Halpin
    Timing driven force directed placement with physical net constraints. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:60-66 [Conf]
  12. Bo Hu, Malgorzata Marek-Sadowska
    Fine granularity clustering for large scale placement problems. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:67-74 [Conf]
  13. Guoqiang Chen, Sachin S. Sapatnekar
    Partition-driven standard cell thermal placement. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:75-80 [Conf]
  14. Andrew B. Kahng, Xu Xu
    Local unidirectional bias for smooth cutsize-delay tradeoff in performance-driven bipartitioning. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:81-86 [Conf]
  15. Jason Cong, Michail Romesis, Min Xie
    Optimality, scalability and stability study of partitioning and placement algorithms. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:88-94 [Conf]
  16. Saurabh N. Adya, Mehmet Can Yildiz, Igor L. Markov, Paul Villarrubia, Phiroze N. Parakh, Patrick H. Madden
    Benchmarking for large-scale placement and beyond. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:95-103 [Conf]
  17. Raymond X. Nijssen, Ed P. Huijbregts
    A complete design for power methodology and flow for large ASICs. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:106-108 [Conf]
  18. Lars Liebmann
    Layout impact of resolution enhancement techniques: impediment or opportunity? [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:110-117 [Conf]
  19. Hardy Kwok-Shing Leung
    Advanced routing in changing technology landscape. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:118-121 [Conf]
  20. Andrew B. Kahng
    Research directions for coevolution of rules and routers. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:122-125 [Conf]
  21. Yan Feng, Dinesh P. Mehta, Hannah Honghua Yang
    Constrained "Modern" Floorplanning. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:128-135 [Conf]
  22. Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen, Yici Cai, Chung-Kuan Cheng, Jun Gu
    An integrated floorplanning with an efficient buffer planning algorithm. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:136-142 [Conf]
  23. Matthew Moe, Herman Schmit
    Floorplanning of pipelined array modules using sequence pairs. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:143-150 [Conf]
  24. Hai Zhou
    Efficient Steiner tree construction based on spanning graphs. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:152-157 [Conf]
  25. Charles J. Alpert, Gopal Gandham, Milos Hrkic, Jiang Hu, Stephen T. Quay
    Porosity aware buffered steiner tree construction. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:158-165 [Conf]
  26. Jeng-Liang Tsai, Tsung-Hao Chen, Charlie Chung-Ping Chen
    Optimal minimum-delay/area zero-skew clock tree wire-sizing in pseudo-polynomial time. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:166-173 [Conf]
  27. Bing Lu, Jiang Hu, Gary Ellis, Haihua Su
    Process variation aware clock tree routing. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:174-181 [Conf]
  28. Chetan Patel, Anthony Cozzie, Herman Schmit, Lawrence T. Pileggi
    An architectural exploration of via patterned gate arrays. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:184-189 [Conf]
  29. Jason Cong, Yiping Fan, Xun Yang, Zhiru Zhang
    Architecture and synthesis for multi-cycle communication. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:190-196 [Conf]
  30. Bo Hu, Hailin Jiang, Qinghua Liu, Malgorzata Marek-Sadowska
    Synthesis and placement flow for gain-based programmable regular fabrics. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:197-203 [Conf]
  31. Fan Mo, Robert K. Brayton
    Fishbone: a block-level placement and routing scheme. [Citation Graph (0, 0)][DBLP]
    ISPD, 2003, pp:204-209 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002