The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Physical Design (ISPD) (ispd)
2006 (conf/ispd/2006)

  1. Ted Vucurevich
    Commercial CAD: challenges and opportunities. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:1- [Conf]
  2. Jinjun Xiong, Vladimir Zolotov, Lei He
    Robust extraction of spatial correlation. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:2-9 [Conf]
  3. B. Lasbouygues, Robin Wilson, Nadine Azémard, Philippe Maurine
    Timing analysis in presence of supply voltage and temperature variations. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:10-16 [Conf]
  4. Azadeh Davoodi, Ankur Srivastava
    Probabilistic evaluation of solutions in variability-driven optimization. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:17-24 [Conf]
  5. Yiyu Shi, Hao Yu, Lei He
    SAMSON: a generalized second-order arnoldi method for reducing multiple source linear network with susceptance. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:25-32 [Conf]
  6. Lizheng Zhang, Jun Shao, Charlie Chung-Ping Chen
    Non-gaussian statistical parameter modeling for SSTA with confidence interval analysis. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:33-38 [Conf]
  7. Jens Lienig
    introduction to electromigration-aware physical design. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:39-46 [Conf]
  8. Anne E. Gattiker
    IC failure mechanisms yesterday, today, tomorrow: implications from test to DFM. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:47- [Conf]
  9. Zhe Feng 0002, Yu Hu, Tong Jing, Xianlong Hong, Xiaodong Hu, Guiying Yan
    An O(nlogn) algorithm for obstacle-avoiding routing tree construction in the lambda-geometry plane. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:48-55 [Conf]
  10. Bor-Yiing Su, Yao-Wen Chang, Jiang Hu
    An optimal jumper insertion algorithm for antenna avoidance/fixing on general routing trees with obstacles. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:56-63 [Conf]
  11. Hsin-Yu Chen, Zhi-Da Lin
    NEMO: a new implicit connection graph-based gridless router with multi-layer planes and pseudo-tile propagation. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:64-71 [Conf]
  12. Mehdi Saeedi, Morteza Saheb Zamani, Ali Jahanian
    Prediction and reduction of routing congestion. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:72-77 [Conf]
  13. Jarrod A. Roy, James F. Lu, Igor L. Markov
    Seeing the forest and the trees: Steiner wirelength optimization in placemen. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:78-85 [Conf]
  14. Chen-Wei Liu, Yao-Wen Chang
    Floorplan and power/ground network co-synthesis for fast design convergence. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:86-93 [Conf]
  15. Jun Chen, Lei He
    Noise driven in-package decoupling capacitor optimization for power integrity. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:94-101 [Conf]
  16. Andrew B. Kahng, Bao Liu, Sheldon X.-D. Tan
    Efficient decoupling capacitor planning via convex programming methods. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:102-107 [Conf]
  17. Jin Shi, Yici Cai, Sheldon X.-D. Tan, Xianlong Hong
    High accurate pattern based precondition method for extremely large power/ground grid analysis. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:108-113 [Conf]
  18. Shanq-Jang Ruan, Edwin Naroska, Chun-Chih Chen
    Optimal partitioned fault-tolerant bus layout for reducing power in nanometer designs. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:114-119 [Conf]
  19. Christoph Bartoschek, Stephan Held, Dieter Rautenbach, Jens Vygen
    Efficient generation of short and fast repeater tree topologies. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:120-127 [Conf]
  20. Jinjun Xiong, Lei He
    Fast buffer insertion considering process variations. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:128-135 [Conf]
  21. Beth L. Chen, Dmitri B. Chklovskii
    Placement and routing optimization in the brain. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:136-141 [Conf]
  22. Mongkol Ekpanyapong, Sung Kyu Lim
    Integrated retiming and simultaneous Vdd/Vth scaling for total power minimization. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:142-148 [Conf]
  23. Uday Padmanabhan, Janet Meiling Wang, Jiang Hu
    Statistical clock tree routing for robustness to process variations. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:149-156 [Conf]
  24. Anand Rajaram, David Z. Pan
    Variation tolerant buffered clock network synthesis with cross links. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:157-164 [Conf]
  25. P. V. Srinivas
    Chip assembly: a new paradigm in hierarchical physical design. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:165- [Conf]
  26. François Rémond
    Physical design challenges for multi-million gate SoC's: an STMicroelectronics perspective. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:166- [Conf]
  27. Gi-Joon Nam
    ISPD 2006 Placement Contest: Benchmark Suite and Results. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:167- [Conf]
  28. Arjun Rajagopal
    Clock tree design challenges for robust and low power design. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:168- [Conf]
  29. Ad M. G. Peeters
    Clockless IC design using handshake technology. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:169- [Conf]
  30. Aaron N. Ng, Igor L. Markov, Rajat Aggarwal, Venky Ramachandran
    Solving hard instances of floorplacement. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:170-177 [Conf]
  31. Zhuoyuan Li, Xianlong Hong, Qiang Zhou, Shan Zeng, Jinian Bian, Hannah Yang, Vijay Pitchumani, Chung-Kuan Cheng
    Integrating dynamic thermal via planning with 3D floorplanning algorithm. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:178-185 [Conf]
  32. Sherief Reda, Amit Chowdhary
    Effective linear programming based placement methods. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:186-191 [Conf]
  33. Shinichi Kouda, Chikaaki Kodama, Kunihiro Fujiyoshi
    Improved method of cell placement with symmetry constraints for analog IC layout design. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:192-199 [Conf]
  34. Jianhua Li, Laleh Behjat
    Net cluster: a net-reduction based clustering preprocessing algorithm. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:200-205 [Conf]
  35. Jarrod A. Roy, David A. Papa, Aaron N. Ng, Igor L. Markov
    Satisfying whitespace requirements in top-down placement. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:206-208 [Conf]
  36. Taraneh Taghavi, Xiaojian Yang, Bo-Kyung Choi, Maogang Wang, Majid Sarrafzadeh
    Dragon2006: blockage-aware congestion-controlling mixed-size placer. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:209-211 [Conf]
  37. Tony F. Chan, Jason Cong, Joseph R. Shinnerl, Kenton Sze, Min Xie
    mPL6: enhanced multilevel mixed-size placement. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:212-214 [Conf]
  38. Zhe-Wei Jiang, Tung-Chieh Chen, Tien-Chang Hsu, Hsin-Chen Chen, Yao-Wen Chang
    NTUplace2: a hybrid placer using partitioning and analytical techniques. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:215-217 [Conf]
  39. Andrew B. Kahng, Qinke Wang
    A faster implementation of APlace. [Citation Graph (0, 0)][DBLP]
    ISPD, 2006, pp:218-220 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002