The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Physical Design (ISPD) (ispd)
1997 (conf/ispd/1997)

  1. Charles J. Alpert, Tony F. Chan, Dennis J.-H. Huang, Andrew B. Kahng, Igor L. Markov, Pep Mulet, Kenneth Yan
    Faster minimization of linear wirelength for global placement. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:4-11 [Conf]
  2. Huiqun Liu, D. F. Wong
    Network flow based multi-way partitioning with area and pin constraints. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:12-17 [Conf]
  3. Dennis J.-H. Huang, Andrew B. Kahng
    Partitioning-based standard-cell global placement with an exact objective. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:18-25 [Conf]
  4. Hiroshi Murata, Kunihiro Fujiyoshi, Mineo Kaneko
    VLSI/PCB placement with obstacles based on sequence-pair. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:26-31 [Conf]
  5. Guenter Stenz, Bernhard M. Riess, Bernhard Rohfleisch, Frank M. Johannes
    Timing driven placement in interaction with netlist transformations. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:36-41 [Conf]
  6. R. X. T. Nijssen, C. A. J. van Eijk
    Regular layout generation of logically optimized datapaths. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:42-47 [Conf]
  7. Glenn Holt, Akhilesh Tyagi
    Minimizing interconnect energy through integrated low-power placement and combinational logic synthesis. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:48-53 [Conf]
  8. Guy G. Lemieux, Stephen Dean Brown, Daniel Vranesic
    On two-step routing for FPGAS. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:60-66 [Conf]
  9. Young-Jun Cha, Chong S. Rim, Kazuo Nakajima
    A simple and effective greedy multilayer router for MCMs. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:67-72 [Conf]
  10. Jason Cong, Patrick H. Madden
    Performance driven global routing for standard cell design. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:73-80 [Conf]
  11. Jun Dong Cho
    A min-cost flow based min-cost rectilinear Steiner distance-preserving tree construction. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:82-87 [Conf]
  12. Jason Cong, Andrew B. Kahng, Kwok-Shing Leung
    Efficient heuristics for the minimum shortest path Steiner arborescence problem with applications to VLSI physical design. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:88-95 [Conf]
  13. C. Douglass Bateman, Christopher S. Helvig, Gabriel Robins, Alexander Zelikovsky
    Provably good routing tree construction with multi-port terminals. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:96-102 [Conf]
  14. Louis Scheffer
    A roadmap of CAD tool changes for sub-micron interconnect problems. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:104-109 [Conf]
  15. Jeffrey L. Burns, Jack A. Feldman
    C5M - a control logic layout synthesis system for high-performance microprocessors. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:110-115 [Conf]
  16. Fook-Luen Heng, Zhan Chen, Gustavo E. Téllez
    A VLSI artwork legalization technique based on a new criterion of minimum layout perturbation. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:116-121 [Conf]
  17. A. Bertolet, K. Carpenter, K. Carrig, A. Chu, A. Dean, F. Ferraiolo, S. Kenyon, D. Phan, J. Petrovick, G. Rodgers, D. Willmott, T. Bairley, T. Decker, V. Girardi, Y. Lapid, M. Murphy, P. A. Scott, R. Weiss
    A pseudo-hierarchical methodology for high performance microprocessor design. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:124-129 [Conf]
  18. Juho Kim, Cyrus Bamji, Yanbin Jiang, Sachin S. Sapatnekar
    Concurrent transistor sizing and buffer insertion by considering cost-delay tradeoffs. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:130-135 [Conf]
  19. Nevin Kapur, Debabrata Ghosh, Franc Brglez
    Towards a new benchmarking paradigm in EDA: analysis of equivalence class mutant circuit distributions. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:136-143 [Conf]
  20. Fung Yu Young, D. F. Wong
    How good are slicing floorplans?. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:144-149 [Conf]
  21. Parthasarathi Dasgupta, Susmita Sur-Kolay
    Slicibility of rectangular graphs and floorplan optimization. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:150-155 [Conf]
  22. Michael J. Alexander
    Power optimization for FPGA look-up tables. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:156-162 [Conf]
  23. Chris C. N. Chu, D. F. Wong
    A matrix synthesis approach to thermal placement. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:163-168 [Conf]
  24. Yu-Wen Tsay, Wen-Jong Fang, Allen C.-H. Wu, Youn-Long Lin
    Preserving HDL synthesis hierarchy for cell placement. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:169-174 [Conf]
  25. Rony Kay, Gennady Bucheuv, Lawrence T. Pileggi
    EWA: exact wiring-sizing algorithm. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:178-185 [Conf]
  26. D. Zhou, X. Y. Liu
    Minimization of chip size and power consumption of high-speed VLSI buffers. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:186-191 [Conf]
  27. Chris C. N. Chu, D. F. Wong
    Closed form solution to simultaneous buffer insertion/sizing and wire sizing. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:192-197 [Conf]
  28. Ernest S. Kuh
    Physical design: reminiscing and looking ahead. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:206- [Conf]
  29. T. C. Hu
    Physical design: mathematical models and methods. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:207-210 [Conf]
  30. Raul Camposano
    The quarter micron challenge: intergrating physical and logic design. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:211- [Conf]
  31. R. G. Bushroe, S. DasGupta, A. Dengi, P. Fisher, S. Grout, G. Ledenbach, N. S. Nagaraj, R. Steele
    Chip hierarchical design system (CHDS): a foundation for timing-driven physical design into the 21st century. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:212-217 [Conf]
  32. Kurt Keutzer, A. Richard Newton, Narendra V. Shenoy
    The future of logic synthesis and physical design in deep-submicron process geometries. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:218-224 [Conf]
  33. David P. LaPotin, Uttam Ghoshal, Eli Chiprout, Sani R. Nassif
    Physical design challenges for performance. [Citation Graph (0, 0)][DBLP]
    ISPD, 1997, pp:225-226 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002