The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Physical Design (ISPD) (ispd)
2000 (conf/ispd/2000)

  1. Andrew B. Kahng, Stefanus Mantik, Dirk Stroobandt
    Requirements for models of achievable routing. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:4-11 [Conf]
  2. Jason Cong, Jie Fang, Kei-Yong Khoo
    DUNE: a multi-layer gridless routing system with wire planning. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:12-18 [Conf]
  3. Christoph Albrecht
    Provably good global routing by a new approximation algorithm for multicommodity flow. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:19-25 [Conf]
  4. Frank Schmiedle, Daniel Unruh, Bernd Becker
    Exact switchbox routing with search space reduction. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:26-32 [Conf]
  5. I-Min Liu, Tan-Li Chou, Adnan Aziz, D. F. Wong
    Zero-skew clock tree construction by simultaneous routing, wire sizing and buffer insertion. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:33-38 [Conf]
  6. Naveed A. Sherwani
    The bottom-10 problems in EDA (panel session (title only)). [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:39- [Conf]
  7. Chin-Chih Chang, Jason Cong
    Pseudo pin assignment with crosstalk noise control. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:41-47 [Conf]
  8. Lauren Hui Chen, Malgorzata Marek-Sadowska
    Aggressor alignment for worst-case coupling noise. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:48-54 [Conf]
  9. Lei He, Kevin M. Lepak
    Simultaneous shield insertion and net ordering for capacitive and inductive coupling minimization. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:55-60 [Conf]
  10. Rony Kay, Rob A. Rutenbar
    Wire packing: a strong formulation of crosstalk-aware chip-level track/layer assignment with an efficient integer programming solution. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:61-68 [Conf]
  11. Charles J. Alpert, Anirudh Devgan, Chandramouli V. Kashyap
    A two moment RC delay metric for performance optimization. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:69-74 [Conf]
  12. Rob A. Rutenbar, John M. Cohn
    Layout tools for analog ICs and mixed-signal SoCs: a survey. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:76-83 [Conf]
  13. Jason Cong, Majid Sarrafzadeh
    Incremental physical design. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:84-92 [Conf]
  14. Utpal Desai, Simon Tam, Robert Kim, Ji Zhang, Stefan Rusu
    Itanium processor clock design. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:94-98 [Conf]
  15. Rory McInerney, Kurt Leeper, Troy Hill, Heming Chan, Bulent Basaran, Lance McQuiddy
    Methodology for repeater insertion management in the RTL, layout, floorplan and fullchip timing databases of the Itanium microprocessor. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:99-104 [Conf]
  16. Hai Zhou, Adnan Aziz
    Buffer minimization in pass transistor logic. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:105-110 [Conf]
  17. Masanori Hashimoto, Hidetoshi Onodera
    A performance optimization method by gate sizing using statistical static timing analysis. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:111-116 [Conf]
  18. Li-Fu Chang, Keh-Jeng Chang, Robert Mathews
    Simulating frequency-dependent current distribution for inductance modeling of on-chip copper interconnects. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:117-120 [Conf]
  19. Suresh Raman, Sachin S. Sapatnekar, Charles J. Alpert
    Datapath routing based on a decongestion metric. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:122-127 [Conf]
  20. Iris Hui-Ru Jiang, Song-Ra Pan, Yao-Wen Chang, Jing-Yang Jou
    Optimal reliable crosstalk-driven interconnect optimization. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:128-133 [Conf]
  21. Yu-Yen Mo, Chris C. N. Chu
    A hybrid dynamic/quadratic programming algorithm for interconnect tree optimization. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:134-139 [Conf]
  22. Evanthia Papadopoulou
    Critical area computation for missing material defects in VLSI circuits. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:140-146 [Conf]
  23. Maogang Wang, Xiaojian Yang, Kenneth Eguro, Majid Sarrafzadeh
    Multi-center congestion estimation and minimization during placement. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:147-152 [Conf]
  24. Xiaojian Yang, Maogang Wang, Kenneth Eguro, Majid Sarrafzadeh
    A snap-on placement tool. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:153-158 [Conf]
  25. Masahiko Toyonaga, Keiichi Kurokawa, Takuya Yasui, Atsushi Takahashi
    A practical clock tree synthesis for semi-synchronous circuits. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:159-164 [Conf]
  26. D. Hill, Mark Gilbreath, Wayne Heideman, J. George Janac, Adriaan Ligtenberg
    EDA and the Internet (panel session - title only). [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:165- [Conf]
  27. Yingxin Pang, Chung-Kuan Cheng, Takeshi Yoshimura
    An enhanced perturbing algorithm for floorplan design using the O-tree representation. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:168-173 [Conf]
  28. Fung Yu Young, Chris C. N. Chu, W. S. Luk, Y. C. Wong
    Floorplan area minimization using Lagrangian relaxation. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:174-179 [Conf]
  29. Xiaoping Tang, D. F. Wong
    Planning buffer locations by network flows. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:180-185 [Conf]
  30. Probir Sarkar, Vivek Sundararaman, Cheng-Kok Koh
    Routability-driven repeater block planning for interconnect-centric floorplanning. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:186-191 [Conf]
  31. Min Ouyang, Michel Toulouse, Krishnaiyan Thulasiraman, Fred Glover, Jitender S. Deogun
    Multilevel cooperative search: application to the circuit/hypergraph partitioning problem. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:192-198 [Conf]
  32. Ralph H. J. M. Otten
    What is a floorplan?. [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:201-206 [Conf]
  33. Andrew B. Kahng
    Classical floorplanning harmful? [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:207-213 [Conf]
  34. Patrick Groeneveld, Jacob Greidinger, J. George Janac, Wilm E. Donath
    The right floorplanning formulations for future chip implementation methodologies (panel discussion - title only). [Citation Graph (0, 0)][DBLP]
    ISPD, 2000, pp:214- [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002