The SCEAS System
Navigation Menu

Conferences in DBLP

International Test Conference (ITC) (itc)
1992 (conf/itc/1992)

  1. Andrew Rappaport
    The Great ATE Robbery. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:18- [Conf]
  2. Ben Bennetts
    Progress in DFT: A Personal View. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:19-20 [Conf]
  3. Uwe Gläser, Uwe Hübner, Heinrich Theodor Vierhaus
    Mixed Level Hierarchical Test Generation for Transition Faults and Overcurrent Related Defects. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:21-29 [Conf]
  4. Sandip Kundu, Leendert M. Huisman, Indira Nair, Vijay S. Iyengar, Lakshmi N. Reddy
    A Small Test Generator for Large Designs. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:30-40 [Conf]
  5. Kazumi Hatayama, Kazunori Hikone, Mitsuji Ikeda, Terumine Hayashi
    Sequential Test Generation Based on Real-Value Logic. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:41-48 [Conf]
  6. Jaushin Lee, Janak H. Patel
    An Instruction Sequence Assembling Methodology for Testing Microprocessors. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:49-58 [Conf]
  7. Jim Chapman
    High-Performance CMOS-Based VLSI Testers: Timing Control and Compensation. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:59-67 [Conf]
  8. Akinori Maeda
    The Advanced Test System Architecture Provides Fast and Accurate Test for a High Resolution ADC. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:68-75 [Conf]
  9. Matthew L. Fichtenbaum, Robert J. Muller
    A VXI Driver-Sensor Instrument with Large Tester Architecture. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:76-83 [Conf]
  10. Wayne T. Daniel
    Design Verification of a High Density Computer Using IEEE 1149.1. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:84-90 [Conf]
  11. John Andrews
    IEEE 1149.1 Applied to Mixed TTL-ECL and Differential Logic. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:91-95 [Conf]
  12. E. Kofi Vida-Torku
    Impact of Boundary Scan Design on Delay Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:96-105 [Conf]
  13. Barry Caldwell, Tom Langford
    Is IEEE 1149.1 Boundary Scan Cost Effective: A Simple Case Study. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:106-109 [Conf]
  14. Jos van Sas, Francky Catthoor, Hugo De Man
    Optimized BIST Strategies for Programmable Data Paths Based on Cellular Automata. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:110-119 [Conf]
  15. Sybille Hellebrand, Steffen Tarnick, Bernard Courtois, Janusz Rajski
    Generation of Vector Patterns Through Reseeding of Multipe-Polynominal Linear Feedback Shift Registers. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:120-129 [Conf]
  16. Albrecht P. Stroele
    Self-Test Scheduling with Bounded Test Execution. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:130-139 [Conf]
  17. Sandeep K. Gupta, Dhiraj K. Pradhan
    Can Concurrent Checkers Help BIST? [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:140-150 [Conf]
  18. Roger Perry
    IDDQ Testing in CMOS Digital ASIC's - Putting it All Together. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:151-157 [Conf]
  19. K. Sawada, S. Kayano
    An Evaluation of IDDQ Versus Conventional Testing for CMOS Sea-of-Gate IC's. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:158-167 [Conf]
  20. Peter C. Maxwell, Robert C. Aitken, Vic Johansen, Inshen Chiang
    The Effectiveness of IDDQ, Functional and Scan Tests: How Many Fault Coverages Do We Need? [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:168-177 [Conf]
  21. Elizabeth M. Rudnick, W. Kent Fuchs, Janak H. Patel
    Diagnostic Fault Simulation of Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:178-186 [Conf]
  22. Gianpiero Cabodi, Paolo Camurati, Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda
    Sequential Circuit Diagnosis Based on Formal Verification Techniques. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:187-196 [Conf]
  23. John Moondanos, Jacob A. Abraham
    Sequential Redundancy Identification Using Verification Techniques. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:197-205 [Conf]
  24. Lee Whetsel
    A Proposed Method of Accessing 1149.1 in a Backplane Environment. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:206-216 [Conf]
  25. José M. M. Ferreira, Filipe S. Pinto, José Silva Matos
    A Boundary Scan Test Controller for Hierarchical BIST. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:217-223 [Conf]
  26. Stephen C. Hilla
    Boundary Scan Testing for Multichip Modules. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:224-231 [Conf]
  27. Frank Bouwman, Steven Oostdijk, Rudi Stans, Ben Bennetts, Frans P. M. Beenker
    Macro Testability: The Results of Production Device Applications. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:232-241 [Conf]
  28. Haruo Kato
    CCD Image Sensor Test Using Cellular Automation-Type Pattern Recognition System. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:242-246 [Conf]
  29. Ran Edeleman, Ishai Kreiser
    Correlation of Capacitive Load Delay. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:247-252 [Conf]
  30. David C. Keezer
    MCM Test Using Available Technology. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:253- [Conf]
  31. Keith Baker
    Time-to-Market: An Issue in Mixed-signal vs. Analogue. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:254- [Conf]
  32. Richard S. Levy
    Does Object-Oriented Programming Fit in the Real World of ATE? [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:255-256 [Conf]
  33. James R. Ward
    The Reality of Object Oriented Solutions for ATE. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:257-258 [Conf]
  34. T. Yamada, Akihiro Fujiwara, Michiko Inoue
    COM (Cost Oriented Memory) Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:259- [Conf]
  35. Robert Trahan, Rex Kiang
    An Analysis of the Die Testing Process Using Taguchi Techniques and Circuit Diagnostics. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:260-269 [Conf]
  36. Robert James Montoya
    Using Tester Repeatability to Improve Yields. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:270-274 [Conf]
  37. Sarkis Ourfalian
    Successful Implementation of SPC in Semiconductor Final Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:275-282 [Conf]
  38. Hideo Fujiwara, Akihiro Yamamoto
    Parity-Scan Design to Reduce the Cost of Test Application. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:283-292 [Conf]
  39. Sridhar Narayanan, Charles Njinda, Melvin A. Breuer
    Optimal Sequencing of Scan Registers. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:293-302 [Conf]
  40. Sungju Park, Sheldon B. Akers
    A Graph Theoretic Approach to Partial Scan Design by K-Cycle Elimination. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:303-311 [Conf]
  41. Shoba Krishnan, Sondes Sahli, Chin-Long Wey
    Test Generation and Concurrent Error Detection in Current-Mode A/D Converters. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:312-320 [Conf]
  42. Masao Sugai, Takayuki Nakatani
    AC Dynamic Testing of 20Bit Sigma-Delta Over-Sampling D/A Converter on a Mixed Signal Test System. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:321-327 [Conf]
  43. Frans de Jong, Adriaan J. de Lind van Wijngaarden
    Memory Interconnection Test at Board Level. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:328-337 [Conf]
  44. Shuichi Kameyama, Hideyuki Ohara, Chihiro Endo, Naoki Takayama
    Interconnect and Delay Testing with a 4800-Pin Board Tester. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:338-344 [Conf]
  45. Gaspare Pantano, Dave Rolince
    VECTOR (Virtual Edge Connector Test): A Strategy to Increase TPS Fault Coverage Without Adding Test Vectors. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:345-351 [Conf]
  46. Richard H. Williams, R. Glenn Wagner, Charles F. Hawkins
    Testing Errors: Data and Calculations in an IC Manufacturing Process. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:352-361 [Conf]
  47. Babur Mustafa Pulat, Lauren M. Streb
    Position of Component Testing in Total Quality Management (TQM). [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:362-366 [Conf]
  48. Mick Tegethoff, T. E. Figal, S. W. Hird
    Board Test DFT Model for Computer Products. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:367-371 [Conf]
  49. Marcelo Lubaszewski, Bernard Courtois
    On the Design of Self-Checking Boundary Scannable Boards. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:372-381 [Conf]
  50. Wei-Cheng Her, Lin-Ming Jin, Yacoub M. El-Ziq
    An ATPG Driver Selection Algorithm for Interconnect Test with Boundary Scan. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:382-388 [Conf]
  51. Matthew Melton, Franc Brglez
    Automatic Pattern Generation for Diagnosis of Wiring Interconnect Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:389-398 [Conf]
  52. Timothy Daniel Lyons
    The Production Implementation of a Linear Error Modeling Technique. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:399-404 [Conf]
  53. Stephen C. Bateman, William H. Kao
    Simulation of an Integrated Design and Test Environment for Mixed-Signal Integrated Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:405-414 [Conf]
  54. Alaa F. Alani, Gerry Musgrave, Anthony P. Ambler
    A Steady-State Response Test Generation for Mixed-Signal Integrated Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:415-421 [Conf]
  55. R. Warren Necoechea
    High Performance Monolithic Verniers for VLSI Automatic Test Equipment. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:422-430 [Conf]
  56. Gary Fehr
    Timing-Per-Pin Flexibility at Shared-Resource Cost. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:431-438 [Conf]
  57. Timothy Alton
    TGEN: Flexible Timing Generator Architecture. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:439-443 [Conf]
  58. Christopher L. Henderson, Richard H. Williams, Charles F. Hawkins
    Economic Impact of Type I Test Errors at System and Board Levels. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:444-452 [Conf]
  59. Michael G. Wahl, Carol Pyron
    EDIF Test - The Upcoming Standard for Test Data Transfers. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:453-458 [Conf]
  60. Bas Verhelst, Richard Morren, Keith Baker
    Using EDIF for Transfer of Test Data: Practical Experience. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:459-465 [Conf]
  61. Michele Favalli, Marcello Dalpasso, Piero Olivo, Bruno Riccò
    Analysis of Steady State Detection of Resistive Bridging Faults in BiCMOS Digital ICs. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:466-475 [Conf]
  62. Peter Lidén, Peter Dahlgren, Jan Torin
    Transistor Fault Coverage for Self-Testing CMOS Checkers. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:476-485 [Conf]
  63. Marcello Dalpasso, Michele Favalli, Piero Olivo, Bruno Riccò
    Parametric Bridging Fault Characterization for the Fault Simulation of Library-Based ICs. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:486-495 [Conf]
  64. Gordon R. Mc Leod
    BIST Techniques for ASIC Design. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:496-505 [Conf]
  65. Benoit Nadeau-Dostie, Dwayne Burek, Abu S. M. Hassan
    ScanBIST: A Multi-frequency Scan-based BIST Method. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:506-513 [Conf]
  66. Wei-Lun Wang, Jhing-Fa Wang, Kuen-Jong Lee
    A Fast Testing Method for Sequential Circuits at the State Trasition Level. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:514-519 [Conf]
  67. Herbert Thaler, Lee Holt
    A Suite of Novel Digital ATE Timing Calibration Methods. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:520-529 [Conf]
  68. David C. Keezer, R. J. Wenzel
    Calibration Techniques for a Gigahertz Test System. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:530-537 [Conf]
  69. Ulrich Schoettmer, Holger Engelhard
    High Performance Pin Electronics with GaAs, A Contradiction in Terms? [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:538-545 [Conf]
  70. Maury A. Smeyne
    System Test: What is it? Why Bother Defining It? [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:546- [Conf]
  71. William R. Simpson, John W. Sheppard
    System Perspective on Diagnostic Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:547- [Conf]
  72. Charles F. Hawkins
    System Testing: The Future for All of Us. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:548- [Conf]
  73. Noel E. Donlin
    Is Burn-In Burned-Out - Part 2. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:549-550 [Conf]
  74. Anneliese von Mayrhauser
    Software Testing: Opportunity and Nightmare. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:551-552 [Conf]
  75. Simeon C. Ntafos
    Software Testing: Theory and Practice. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:553- [Conf]
  76. Richard Hulse
    A Mixed Signal Analog Test Bus Framework. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:554- [Conf]
  77. Madhuri Jarwala
    Design for Test Approaches to Mixed-Signal Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:555- [Conf]
  78. B. R. Wilkins
    A Structure for Board-Level Mixed-Signal Testability. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:556-557 [Conf]
  79. Bejoy G. Oomman, Prasad Kongara, Chittaranjan Mallipeddi
    Amdahl Corporation Board Delay Test System. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:558-567 [Conf]
  80. Yaron Aizenbud, Paul Chang, Moshe Leibowitz, Dave Smith, Bernd Könemann, Vijay S. Iyengar, Barry K. Rosen
    AC Test Quality: Beyond Transition Fault Coverage. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:568-577 [Conf]
  81. Bernd Könemann, J. Barlow, Paul Chang, R. Gabrielson, C. Goertz, Brion L. Keller, Kevin McCauley, J. Tischer, Vijay S. Iyengar, Barry K. Rosen, T. Williams
    Delay Test: The Next Frontier for LSSD Test Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:578-587 [Conf]
  82. Weiwei Mao, Michael D. Ciletti
    Robustness Enhancement and Detection Threshold Reduction in ATPG for Gate Delay Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:588-597 [Conf]
  83. Michael Nicolaidis
    Transparent BIST for RAMs. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:598-607 [Conf]
  84. H. Maeno, K. Nii, S. Sakayanagi, S. Kato
    LSSD Compatible and Concurrently Testable Ram. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:608-614 [Conf]
  85. Masaki Tsukude, Kazutami Arimoto, Hideto Hidaka, Yasuhiro Konishi, Masanori Hayashikishi, Katsunori Suma, Kazuyasu Fujishima
    A Testing Technique for ULSI Memory with On-Chip Voltage Down Converter. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:615-622 [Conf]
  86. Tom Chen, Glen Sunada
    A Self-Testing and Self-Repairing Structure for Ultra-Large Capacity Memories. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:623-631 [Conf]
  87. Xiaoqing Wen, Kozo Kinoshita
    Testable Designs of Sequential Circuits Under Highly Observable Condition. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:632-641 [Conf]
  88. M. Saraiva, P. Casimiro, Marcelino B. Santos, José T. de Sousa, Fernando M. Gonçalves, Isabel C. Teixeira, João Paulo Teixeira
    Physical DFT for High Coverage of Realistic Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:642-651 [Conf]
  89. Andrzej Krasniewski, Slawomir Pilarski
    High Quality Testing of Embedded RAMs Using Circular Self-Test Path. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:652-661 [Conf]
  90. Miron Abramovici, Prashant S. Parikh
    Warning: 100% Fault Coverage May Be Misleading!! [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:662-668 [Conf]
  91. Paul Astrachan, Todd Brooks, Jody Everett, Wai-on Law, Kenneth McIntyre, Chuong Nguyen, Charles Weng
    Testing a DSP-Based Mixed-Signal Telecommunications Chip. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:669-677 [Conf]
  92. J. Preißner, G.-H. Huaman-Bollo, G. Mahlich, Johannes Schuck, Hans Sahm, P. Weingart, D. Weinsziehr, J. Yeandel, R. Evans
    An Open Modular Test Concept for the DSP KISS-16Vs. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:678-683 [Conf]
  93. A. J. van de Goor, Th. J. W. Verhallen
    Functional Testing of Current Microprocessors (applied to the Intel i860TM). [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:684-695 [Conf]
  94. Marcus Rimén, Joakim Ohlsson
    A Study of the Error Behavior of a 32-bit RISC Subjected to Simulated Transient Fault Injection. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:696-704 [Conf]
  95. Jacob Savir
    Skewed-Load Transition Test: Part 1, Calculus. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:705-713 [Conf]
  96. Srinivas Patil, Jacob Savir
    Skewed-Load Transition Test: Part 2, Coverage. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:714-722 [Conf]
  97. Kwang-Ting Cheng
    Transition Fault Simulation for Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:723-731 [Conf]
  98. Oliver F. Haberl, Thomas Kropf
    HIST: A Methodology for the Automatic Insertion of a Hierarchical Self Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:732-741 [Conf]
  99. Pi-Yu Chung, Ibrahim N. Hajj
    ACCORD: Automatic Catching and CORrection of Logic Design Errors in Combinatorial Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:742-751 [Conf]
  100. Vivek Chickermane, Jaushin Lee, Janak H. Patel
    Design for Testability Using Architectural Descriptions. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:752-761 [Conf]
  101. Rohit Kapur, Jaehong Park, M. Ray Mercer
    All Tests for a Fault Are Not Equally Valuable for Defect Detection. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:762-769 [Conf]
  102. Ravi K. Gulati, Weiwei Mao, Deepak K. Goel
    Detection of "Undetectable" Faults Using IDDQ Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:770-777 [Conf]
  103. Robert C. Aitken
    A Comparison of Defect Models for Fault Location with IDDQ Measurements. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:778-787 [Conf]
  104. Eric Rosenfeld
    A Method of Jitter Measurement. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:788-794 [Conf]
  105. Takashi Kido
    In-Process Inspection Technique for Active-Matrix LCD Panels. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:795-799 [Conf]
  106. Paul Kelley
    Testing Video Processors. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:800-806 [Conf]
  107. Miron Abramovici, Mahesh A. Iyer
    One-Pass Redundancy Identification and Removal. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:807-815 [Conf]
  108. Wolfgang Kunz, Dhiraj K. Pradhan
    Recursive Learning: An Attractive Alternative to the Decision Tree for Test Genration in Digital Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:816-825 [Conf]
  109. Bernd Könemann, Phil Noto
    A Test Generation Methodology for High-Performance Computer Chips and Modules. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:826-833 [Conf]
  110. Mitsuru Shinagawa, Tadao Nagatsuma
    An Automated Optical On-Wager Probing System for Ultra-High-Speed ICs. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:834-839 [Conf]
  111. R. Scharf, C. Kuntzsch, Klaus Helmreich, Werner Wolz, Klaus D. Müller-Glaser
    DRC-based Selection of Optimal Probing Points for Chip-Internal Measurements. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:840-847 [Conf]
  112. Alan C. Noble
    IDA: A Tool for Computer-Aided Failure Analysis. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:848-853 [Conf]
  113. R. Arnold, M. Chowanetz, Werner Wolz, Klaus D. Müller-Glaser
    Test/Agent: CAD-integrated Automatic Generation of Test Programs. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:854-859 [Conf]
  114. William Kao, Jean Xia, Tom Boydston
    Automatic Test Program Generation for Mixed Signal ICs via Design to Test Link. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:860-865 [Conf]
  115. R. Mehtani, M. De Jonghe, Richard Morren, Keith Baker
    Improving Total IC Design Quality Using Application Mode Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:866-872 [Conf]
  116. Yukiya Miura, Kozo Kinoshita
    Circuit Design for Built-in Current Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:873-881 [Conf]
  117. Siyad C. Ma, Edward J. McCluskey
    Non-Conventional Faults in BiCMOS Digital Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:882-891 [Conf]
  118. Rosa Rodríguez-Montañés, Joan Figueras, Eric Bruls
    Bridging Defects Resistance Measurements in a CMOS Process. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:892-899 [Conf]
  119. James M. Bieman, Hwei Yin
    Designing for Software Testability Using Automated Oracles. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:900-907 [Conf]
  120. Anneliese von Mayrhauser, James Keables
    A Simulation Environment for Early Lifecycle Software Reliability Research and Prediction. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:908-916 [Conf]
  121. Ilene Burnstein, Nitya Jani, Steve Mannina, Joe Tamsevicius, Michael Goldshteyn, Louis Lendi
    Intelligent Fault Localization in Software. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:917-926 [Conf]
  122. January Kister, Robert L. Franch
    Advances in Membrane Probe Technology. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:927-935 [Conf]
  123. Eswar Subramanian, Randy Nelson
    Enhanced Probe Card Facilities At-Speed Wafer Probing in Very High Density Applications. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:936-939 [Conf]
  124. Daniel T. Hamling
    A 3GHz, 144 Point Probe Fixture for Automatic IC Wafer Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:940-947 [Conf]
  125. Cecilia Metra, Michele Favalli, Piero Olivo, Bruno Riccò
    CMOS Checkers with Testable Bridging and Transistor Stuck-on Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:948-957 [Conf]
  126. Xiaoling Sun, Micaela Serra
    Merging Concurrent Checking and Off-line BIST. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:958-967 [Conf]
  127. Pinaki Mazumder
    An Integrated Built-In Self-Testing and Self-Repair of VLSI/WSI Hexagonal Arrays. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:968-977 [Conf]
  128. Kevin T. Kornegay, Robert W. Brodersen
    An Architecture for a Reconfigurable IEEE 1149.n Master Controller Board. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:978-983 [Conf]
  129. David L. Landis, Chuck Hudson, Patrick F. McHugh
    Applications of the IEEE P1149.5 Module Test and Maintenance Bus. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:984-992 [Conf]
  130. Najmi T. Jarwala, Paul Stiling, Enn Tammaru, Chi W. Yau
    A Framework for Boundary-Scan Based System Test Diagnosis. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:993-998 [Conf]
  131. W. C. Bruce, Michael G. Gallup, Grady Giles, Tom Munns
    Implementing 1149.1 on CMOS Microprocessors. [Citation Graph (0, 0)][DBLP]
    ITC, 1992, pp:999-1006 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002