The SCEAS System
Navigation Menu

Conferences in DBLP

International Test Conference (ITC) (itc)
1993 (conf/itc/1993)

  1. Joseph B. Costello
    Design and Test: What Will It Take to Tie the Knot?. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:18- [Conf]
  2. Michael S. Ledford
    Automotive Industry: The Next DFT Challenge. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:19- [Conf]
  3. Colin Maunder
    A Universal Framework for Managed Built-in Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:21-29 [Conf]
  4. William R. Simpson, John W. Sheppard
    The Impact of Commercial Off-The-Shelf (COTS) Equipment on System Test and Diagnosis. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:30-36 [Conf]
  5. Israel Beniaminy, Moshe Ben-Bassat, M. Bodenheimer, M. Eshel
    Experience in Diagnosing a Remote, Tele-Controlled Unit Using the AITEST Expert System. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:37-44 [Conf]
  6. Frank W. Angelotti, Wayne A. Britson, Kerry T. Kaliszewski, Steve M. Douskey
    System Level Interconnect Test in a Tristate Environment. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:45-53 [Conf]
  7. Jeff Rearick, Janak H. Patel
    Fast and Accurate CMOS Bridging Fault Simulation. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:54-62 [Conf]
  8. Peter C. Maxwell, Robert C. Aitken
    Biased Voting: A Method for Simulating CMOS Bridging Faults in the Presence of Variable Gate Logic. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:63-72 [Conf]
  9. Eugeni Isern, Joan Figueras
    Test Generation with High Coverages for Quiescent Current Test of Bridging Faults in Combinational Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:73-82 [Conf]
  10. Heinrich Theodor Vierhaus, Wolfgang Meyer, Uwe Gläser
    CMOS Bridges and Resistive Transistor Faults: IDDQ versus Delay Effects. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:83-91 [Conf]
  11. Kurt A. Milne
    Automated Wafer Lot Approval: A Statistically Based Implementation. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:92-98 [Conf]
  12. Brian Beck
    Practical Application of Statistical Process Control in Semiconductor Manufacturing. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:99-107 [Conf]
  13. Rick Boyle, Jack Donovan, Eugene R. Hnatek, Alex M. Ijaz
    Application of Statistical Techniques to Critical System Parameters. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:108-114 [Conf]
  14. M. M. A. van Rosmalen, Keith Baker, Eric Bruls, Jochen A. G. Jess
    Parameter Monitoring: Advantages and Pitfalls. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:115-124 [Conf]
  15. Tom Austin
    Creating A Mixed-Signal Simulation Capability for Concurrent IC Design and Test Program Development. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:125-132 [Conf]
  16. Tony Taylor
    Tools and Techniques for Converting Simulation Models into Test Patterns. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:133-138 [Conf]
  17. Ravindranath Naiknaware, G. N. Nandakumar, Srinivasa Rao Kasa
    Automatic Test Plan Generation for Analog and Mixed Signal Integrated Circuits using Partial Activation and High Level Simulation. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:139-148 [Conf]
  18. Jan Moorman, Steven D. Millman
    Visualizing Test Information: A Novel Approach for Improving Testability. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:149-156 [Conf]
  19. Markus Robinson, Frederic Mailhot, Jim Konsevich
    Technology Independent Boundary Scan Synthesis (Technology and Physical Issues). [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:157-166 [Conf]
  20. Tom Langford
    Utilizing Boundary Scan to Implement BIST. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:167-173 [Conf]
  21. Math Muris, Alex S. Biewenga
    Using Boundary Scan Test to Test Random Access Memory Clusters. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:174-179 [Conf]
  22. Jarir K. Chaar, Michael J. Halliday, Inderpal S. Bhandari, Ram Chillarege
    On the Evaluation of Software Inspections and Tests. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:180-189 [Conf]
  23. Samuel T. Chanson, Antonio Alfredo Ferreira Loureiro, Son T. Vuong
    On the Design for Testability of Communication Software. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:190-199 [Conf]
  24. Gregory F. Sullivan, Dwight S. Wilson, Gerald M. Masson
    Certification Trails and Software Design for Testability. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:200-209 [Conf]
  25. Chryssa Dislis, J. H. Dick, I. D. Dear, I. N. Azu, Anthony P. Ambler
    Economics Modelling for the Determination of Test Strategies for Complex VLSI Boards. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:210-217 [Conf]
  26. Richard H. Williams, Charles F. Hawkins
    The Economics of Guardband Placement. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:218-225 [Conf]
  27. Douglas J. Mirizzi, Willie Jerrels, Dale Ohmart
    Implementation of Parallelsite Test on an 8Bit Configurable Microcontroller. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:226-235 [Conf]
  28. Slawomir Pilarski, Alicja Pierzynska
    BIST and Delay Fault Detection. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:236-242 [Conf]
  29. Prab Varma, Tushar Gheewala
    Delay Testing Using a Matrix of Accessible Storage. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:243-252 [Conf]
  30. Harold N. Scholz, Duane R. Aadsen, Yervant Zorian
    A Method for Delay Fault Self-Testing of Macrocells. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:253-261 [Conf]
  31. Colin Maunder
    Position Statement: ITC93 Boundary-Scan Panel. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:262- [Conf]
  32. David A. Greene
    Benefits of Boundary-Scan to In-Circuit Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:263- [Conf]
  33. Wayne T. Daniel
    IEEE 1149.1 Growing Pains. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:264- [Conf]
  34. Mick Tegethoff
    IEEE 1149.1: How to Justify Implementation. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:265- [Conf]
  35. David C. Keezer
    Known Godd Die for MCMs: Enabling Technologies. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:266- [Conf]
  36. Prab Varma
    Scan DFT: Why More Can Cost Less. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:267- [Conf]
  37. John W. Sheppard
    Testing Fully Testable Systems: A Case Study. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:268- [Conf]
  38. Jon Turino
    DFT: Profit or Loss -- A Position Paper. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:269- [Conf]
  39. Alex Elentukh
    Cultural Evolution in Software Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:270- [Conf]
  40. Michael A. Long
    Software Regression Testing Success Story. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:271-272 [Conf]
  41. James F. Leathrum, K. A. Liburdy
    The Evolving Role of Testing in Open Systems Standards. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:273-274 [Conf]
  42. Hong Hao, Edward J. McCluskey
    Very-Low-Voltage Testing for Weak CMOS Logic ICs. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:275-284 [Conf]
  43. Rick Gayle
    The Cost of Quality: Reducing ASIC Defects with IDDQ At-Speed Testing and Increased Fault Coverage. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:285-292 [Conf]
  44. Paul C. Wiscombe
    A Comparison of Stuck-At Fault Coverage and IDDQ Testing on Defect Levels. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:293-299 [Conf]
  45. Carl W. Thatcher, Rodham E. Tulloss
    Towards a Test Standard for Board and System Level Mixed-Signal Interconnects. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:300-308 [Conf]
  46. Kenneth P. Parker, John E. McDermid, Stig Oresjo
    Structure and Metrology for an Analog Testability Bus. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:309-322 [Conf]
  47. José Silva Matos, Ana C. Leão, João Canas Ferreira
    Control and Observation of Analog Nodes in Mixed-Signal Boards. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:323-331 [Conf]
  48. Robert Gage
    Structured CBIST in ASICs. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:332-338 [Conf]
  49. Jos van Sas, Geert van Wauwe, Erik Huyskens, Dirk Rabaey
    BIST for Embedded Static RAMs with Coverage Calculation. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:339-348 [Conf]
  50. James Broseghini, Donald H. Lenhert
    An ALU-Based Programmable MISR/Pseudorandom Generator for a MC68HC11 Family Self-Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:349-358 [Conf]
  51. Thomas M. Storey
    A Test Methodology for VLSI Chips on Silicon. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:359-368 [Conf]
  52. Lynn Roszel
    MCM Foundry Test Methodology and Implementation. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:369-372 [Conf]
  53. Russell J. Wagner, Joel A. Jorgenson
    Design-For-Test Techniques Utilized in an Avionics Computer MCM. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:373-382 [Conf]
  54. Chryssa Dislis, J. H. Dick, Anthony P. Ambler
    Algorithms for Cost Optimised Test Strategy Selection. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:383-391 [Conf]
  55. Cary Champlin
    IRIDIUMtm Satellite: A Large System Application of Design for Testability. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:392-398 [Conf]
  56. Rodham E. Tulloss
    IEEE 1149 Standards - Changing Testing, Silicon to Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:399-408 [Conf]
  57. M. J. Aguado, E. de la Torre, Miguel Miranda, C. López-Barrio
    Distributed Implementation of an ATPG System Using Dynamic Fault Allocation. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:409-418 [Conf]
  58. Robert H. Klenke, Lori M. Kaufman, James H. Aylor, Ronald Waxman, Padmini Narayan
    Workstation Based Parallel Test Generation. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:419-428 [Conf]
  59. Mitsuo Teramoto
    A Method for Reducing the Search Space in Test Pattern Generation. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:429-435 [Conf]
  60. Scott Diamond, Bo Janko
    Extraction of Coupled SPICE Models for Packages and Interconnects. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:436-445 [Conf]
  61. Rudy Garcia
    Keep Alive - A New Requirement for High Performance uProcessor Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:446-450 [Conf]
  62. Hans Bouwmeester, Steven Oostdijk, Frank Bouwman, Rudi Stans, Loek Thijssen, Frans P. M. Beenker
    Minimizing Test Time by Exploiting Parallelism in Macro Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:451-460 [Conf]
  63. Jim Mosley III
    A Flexible Approach to Data Collection for Component Test Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:461-470 [Conf]
  64. John O'Donnell
    Generated in Real-time Instant Process Statistics ("GRIPS"): Immediate, Tester-computed Test Statistics, Eliminating the Post-processing of Datalogs. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:471-477 [Conf]
  65. Paresh Gondalia, Allan Gutjahr, Wen-Ben Jone
    Realizing a High Measure of Confidence for Defect Level Analysis of Random Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:478-487 [Conf]
  66. Johannes Steensma, Francky Catthoor, Hugo De Man
    Partial Scan at the Register-Transfer Level. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:488-497 [Conf]
  67. Kee Sup Kim, Charles R. Kime
    Partial Scan Using Reverse Direction Empirical Testability. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:498-506 [Conf]
  68. Chih-Jen Lin, Yervant Zorian, Sudipta Bhawmik
    PSBIST: A Partial-Scan Based Built-In Self-Test Scheme. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:507-516 [Conf]
  69. Lee Whetsel
    Hierarchically Accessing 1149.1 Applications in a System Environment. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:517-526 [Conf]
  70. Christopher Poirier
    IEEE P1149.5 to 1149.1 Data and Protocol Conversion. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:527-535 [Conf]
  71. José M. M. Ferreira, Manuel G. Gericota, José L. Ramalho, Gustavo R. Alves
    BIST for 1149.1-Compatible Boards: A Low-Cost and Maximum-Flexibility Solution. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:536-543 [Conf]
  72. Richard K. Feldman
    A Novel Instrument for Accurate Time Measurement in Automatic Calibration of Test Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:544-551 [Conf]
  73. Arnold Frisch, Thomas Almy
    Timing Analyzer for Embedded Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:552-555 [Conf]
  74. Will Creek
    Characterization of Edge Placement Accuracy in High-Speed Digital Pin Electronics. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:556-565 [Conf]
  75. Mani Soma
    Fault Coverage of DC Parametric Tests for Embedded Analog Amplifiers. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:566-573 [Conf]
  76. E. Kurzweil, M. Lallement, R. Blanc, R. Pasquinelli
    Catch the Ground Bounce Before It Hits your System. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:574-584 [Conf]
  77. Hugh Littlebury, Roger Brueckner
    Integrating Electrical Test into Final Assembly. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:585-589 [Conf]
  78. Carl W. Thatcher
    Design-For-Testability Economics. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:590- [Conf]
  79. Nai-Chi Lee
    Practical Considerations for Mixed-Signal Test Bus. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:591-592 [Conf]
  80. Gunnar Carlsson
    Test Synthesis from a User Perspective. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:593- [Conf]
  81. Miron Abramovici
    DOs and DON'Ts in Computing Fault Coverage. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:594- [Conf]
  82. Peter C. Maxwell
    Let's Grade ALL the Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:595- [Conf]
  83. Jerry M. Soden, Charles F. Hawkins
    Quality Testing Requires Quality Thinking. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:596- [Conf]
  84. Edward J. McCluskey
    Quality and Single-Stuck Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:597- [Conf]
  85. M. H. Konijnenburg, J. Th. van der Linden, A. J. van de Goor
    Test Pattern Generation with Restrictors. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:598-605 [Conf]
  86. Praveen Vishakantaiah, Jacob A. Abraham, Daniel G. Saab
    CHEETA: Composition of Hierarchical Sequential Tests Using ATKET. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:606-615 [Conf]
  87. Eun Sei Park, M. Ray Mercer
    Switch-Level ATPG Using Constraint-Guided Line Justification. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:616-625 [Conf]
  88. J. S. Beasley, H. Ramamurthy, Jaime Ramírez-Angulo, Mark DeYong
    iDD Pulse Response Testing of Analog and Digital CMOS Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:626-634 [Conf]
  89. Ching-Wen Hsue, Chih-Jen Lin
    Built-In Current Sensor for IDDQ Test in CMOS. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:635-641 [Conf]
  90. Kenneth M. Wallquist, Alan W. Righter, Charles F. Hawkins
    A General Purpose IDDQ Measurement Circuit. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:642-651 [Conf]
  91. Naim Ben Hamida, Bozena Kaminska
    Analog Circuit Testing Based on Sensitivity Computation and New Circuit Modeling. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:652-661 [Conf]
  92. S. J. Barnfield, W. R. Moore
    Multiple Fault Diagnosis in Printed Circuit Boards. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:662-671 [Conf]
  93. Christophe Vaucher, Louis Balme
    The Standard Mirror Boards (SMBs) Concept. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:672-679 [Conf]
  94. Anchada Charoenrook, Mani Soma
    Fault Diagnosis of Flash ADC using DNL Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:680-689 [Conf]
  95. David Ownby, Harold Bogard
    FFT Based Troubleshooting of 120dB Dynamic Range ADC Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:690-696 [Conf]
  96. Shinichi Kimura, Makoto Kimura, Takayuki Nakatani, Masao Sugai
    A New Approach for PLL Characterization on Mixed Signal ATE. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:697-704 [Conf]
  97. D. Dumas, Patrick Girard, Christian Landrault, Serge Pravossoudovitch
    An Implicit Delay-Fault Simulation Method with Approximate Detection Threshold Calculation. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:705-713 [Conf]
  98. Soumitra Bose, Prathima Agrawal, Vishwani D. Agrawal
    Generation of Compact Delay Tests by Multiple-Path Activation. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:714-723 [Conf]
  99. Jayashree Saxena, Dhiraj K. Pradhan
    A Method to Derive Compact Test Sets for Path Delay Faults in Combinational Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:724-733 [Conf]
  100. LaNae J. Avra, Edward J. McCluskey
    Synthesizing for Scan Dependence in Built-In Self-Testable Desings. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:734-743 [Conf]
  101. Tien-Chien Lee, Niraj K. Jha, Wayne Wolf
    A Conditional Resource-Sharing Method for Behavior Synthesis of Highly- Testable Data Paths. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:744-753 [Conf]
  102. Suman Kanjilal, Srimat T. Chakradhar, Vishwani D. Agrawal
    A Synthesis Approach to Design for Testability. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:754-763 [Conf]
  103. Don Douglas Josephson, Daniel J. Dixon, Barry J. Arnold
    Test Features of the HP PA7100LC Processor. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:764-772 [Conf]
  104. Rajiv Patel, Krishna Yarlagadda
    Testability Features of the SuperSPARCtm. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:773-781 [Conf]
  105. H. Bonnenberg, Andreas Curiger, Norbert Felber, Hubert Kaeslin, R. Zimmermann, Wolfgang Fichtner
    VINCI: Secure Test of a VLSI High-Speed Encryption System. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:782-790 [Conf]
  106. Eiichi Teraoka, Toru Kengaku, Ikuo Yasui, Kazuyuki Ishikawa, Takahiro Matsuo, Hideyuki Wakada, Narumi Sakashita, Yukihiko Shimazu, Takeshi Tokuda
    A Built-in Self- Test for ADC and DAC in a Single-Chip Speech CODEC. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:791-796 [Conf]
  107. Ed Flaherty, Andrew Allen, John Morris
    Design for Testability of a Modular, Mixed Signal Family of VLSI Devices. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:797-804 [Conf]
  108. M. F. Toner, Gordon W. Roberts
    A BIST Scheme for an SNR Test of a Sigma-Delta ADC. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:805-814 [Conf]
  109. Manoj Sachdev, Math Verstraelen
    Development of Fault Model and Test Algorithms for Embedded DRAMs. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:815-824 [Conf]
  110. Robert P. Treuer, Vinod K. Agarwal
    Fault Location Algorithms for Repairable Embedded. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:825-834 [Conf]
  111. Janusz Sosnowski
    "In System" Transparent Autodiagnostics of Rams. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:835-844 [Conf]
  112. Richard H. Carver
    Mutation-Based Testing of Concurrent Programs. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:845-853 [Conf]
  113. James F. Leathrum, K. A. Liburdy
    Automated Testing of Open Software Standards. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:854-858 [Conf]
  114. Anneliese von Mayrhauser, Kurt M. Olender
    Efficient Testing of Software Modifications. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:859-864 [Conf]
  115. Michele Favalli, Marcello Dalpasso, Piero Olivo, Bruno Riccò
    Analyss of Dynamic Effects of Resistive Bridging Faults in CMOS and BiCMOS Digital ICs. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:865-874 [Conf]
  116. Chennian Di, Jochen A. G. Jess
    On Accurate Modeling and Efficient Simulation of CMOS Opens. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:875-882 [Conf]
  117. Udo Mahlstedt, Jürgen Alt
    Simulation of non-classical Faults on the Gate Level - The Fault Simulator COMISM -. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:883-892 [Conf]
  118. Bryan J. Dinteman, Paul Botsford
    Differential Virtual Instrumentation with Continuously. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:893-901 [Conf]
  119. Kenneth D. Wagner, Bernd Könemann
    Testable Programmable Digital Clock Pulse Control Elements. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:902-909 [Conf]
  120. Himanshu Kumar, Scott A. Erjavic
    Knowledge-Based Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:910-917 [Conf]
  121. Paul Sakamoto, Tom Chiu
    High-Speed Sampling Capability for a VLSI Mixed-Signal Tester. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:918-927 [Conf]
  122. Kent Kwang, Hsin Wang, Arthur Hu, Mitsuyuki Asaki, Hironobu Niijima
    CAD-Driven High-Precision E-Beam Positioning. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:928-935 [Conf]
  123. Richard F. Herlein
    Terminating Transmission lines in the Test Environment. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:936-944 [Conf]
  124. R. Mehtani, B. Atzema, M. De Jonghe, Richard Morren, Geert Seuren, Taco Zwemstra
    Mix Test: A Mixed-Signal Extension to a Digital Test System. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:945-953 [Conf]
  125. Kwang-Ting Cheng, Hsi-Chuan Chen
    Delay Testing for Non-Robust Untestable Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:954-961 [Conf]
  126. Ankan K. Pramanick, Sandip Kundu
    Design of Scan-Based Path-Delay-Testable Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:962-971 [Conf]
  127. Udo Mahlstedt
    DELTEST: Deterministic Test Generation for Gate-Delay Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:972-980 [Conf]
  128. Chauchin Su, Kychin Hwang
    A Serial-Scan Test-Vector-Compression Methodology. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:981-988 [Conf]
  129. Yves Bertrand, Frédéric Bancel, Michel Renovell
    Multiconfiguration Technique to Reduce Test Duration for Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:989-997 [Conf]
  130. Irith Pomeranz, Sudhakar M. Reddy
    A Learning-Based Method to Match a Test Pattern Generator to a Circuit-Under-Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:998-1007 [Conf]
  131. Miron Abramovici, Prashant S. Parikh, Ben Mathew, Daniel G. Saab
    On Selecting Flip-Flops for Partial Reset. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:1008-1012 [Conf]
  132. Danial J. Neebel, Charles R. Kime
    Inhomogeneous Cellular Automata for Weighted-Random-Pattern Generation. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:1013-1022 [Conf]
  133. Miguel Miranda, Carlos A. López-Barrio
    Generation of Optimized Single Distributions of Weights for Random Built-in Self-Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:1023-1030 [Conf]
  134. Michael Bershteyn
    Calculatoin of Multiple Sets of Weights for Weighted-Random Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:1031-1040 [Conf]
  135. Rajagopalan Srinivasan, Sandeep K. Gupta, Melvin A. Breuer
    Novel Test Pattern Generators for Pseudo-Exhaustive Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:1041-1050 [Conf]
  136. Robert C. Aitken
    BP-1992 A Comparison of Defect Models for Fault Location with IDDQ Measurements. [Citation Graph (0, 0)][DBLP]
    ITC, 1993, pp:1051-1060 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002