The SCEAS System
Navigation Menu

Conferences in DBLP

International Test Conference (ITC) (itc)
1994 (conf/itc/1994)

  1. Robert E. Anderson
    A Test Retrospection and a Quest for Direction. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:11- [Conf]
  2. Aart J. de Geus
    Test: The New Value-Added Field. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:12- [Conf]
  3. Walt Wilson
    Faster, Better, Cheaper: What Does This Mean For The Test Industry? [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:13- [Conf]
  4. Lina Prokopchak
    Development of a Solution for Achieving Known-Good-Die. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:15-21 [Conf]
  5. Toshiaki Ueno, You Kondoh
    Membrane Prove Technology for MCM Known-Good-Die. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:22-29 [Conf]
  6. William E. Burdick Jr., Wolfgang Daum
    High-Yield Multichip Modules Based on Minimal IC Pretest. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:30-40 [Conf]
  7. Anne E. Gattiker, Wojciech Maly
    Feasibility Study of Smart Substrate Multichip Modules. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:41-49 [Conf]
  8. Dilip K. Bhavsar, John H. Edmondson
    Testability Strategy of the ALPHA AXP 21164 Microprocessor. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:50-59 [Conf]
  9. Alfred L. Crouch, Matthew Pressly, Joe Circello
    Testabilty Features of the MC 68060 Microprocessor. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:60-69 [Conf]
  10. Kalon Holdbrook, Sunil Joshi, Samir Mitra, Joe Petolino, Renu Raman, Michelle Wong
    microSPARCTM: A Case Study of Scan-Based Debug. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:70-75 [Conf]
  11. Craig Hunter, E. Kofi Vida-Torku, Johnny LeBlanc
    Balancing Structured and Ad-hoc Design for Test: Testing of the PowerPC 603TM Microprocessor. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:76-83 [Conf]
  12. Des Farren, Anthony P. Ambler
    System Test Cost Modelling Based on Event Rate Analysis. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:84-92 [Conf]
  13. Donald L. Wheater, Phil Nigh, Jeanne Trinko Mechler, Luke Lacroix
    ASIC Test Cost/Strategy Trade-offs. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:93-102 [Conf]
  14. Timothy J. Moore
    A Test Process Optimization and Cost Modeling Tool. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:103-110 [Conf]
  15. David A. Greene
    When Does It Make cents to Give Up Physical Test Access? [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:111-119 [Conf]
  16. Edward C. Behnke
    3B21D BIST/Boundary-Scan System Diagnostic Test Story. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:120-126 [Conf]
  17. Frank W. Angelotti
    Modeling for Structured System Interconnect Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:127-133 [Conf]
  18. Harald P. E. Vranken, M. P. J. Stevens, M. T. M. Segers, J. H. M. M. van Rhee
    System-Level Testability of Hardware/Software Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:134-142 [Conf]
  19. John D. Lofgren
    A Generic Test and Maintenance Node for Embedded System Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:143-153 [Conf]
  20. Bill Underwood, Wai-on Law, Sungho Kang, Haluk Konuk
    Fastpath: A Path-Delay Test Generator for Standard Scan Designs. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:154-163 [Conf]
  21. Prab Varma
    On Path-Delay Testing in a Standard Scan Environment. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:164-173 [Conf]
  22. Nur A. Touba, Edward J. McCluskey
    Automated Logic Synthesis of Random-Pattern-Testable Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:174-183 [Conf]
  23. Sujit Dey, Miodrag Potkonjak
    Transforming Behavioral Specifications to Facilitate Synthesis of Testable Designs. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:184-193 [Conf]
  24. Keith Baker
    QTAG: A Standard for Test Fixture Based IDDQ/ISSQ Monitors. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:194-202 [Conf]
  25. Hans A. R. Manhaeve, Paul L. Wrighton, Jos van Sas, Urbain Swerts
    An Off-chip IDDQ Current Measurement Unit for Telecommunication ASICs. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:203-212 [Conf]
  26. Keith Baker, A. Bratt, Andrew M. D. Richardson, A. Welbers
    Development of a CLASS 1 QTAG Monitor. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:213-222 [Conf]
  27. Alan Hales
    A Serially Addressable, Flexible Current Monitor for Test Fixture Based IDDQ/ISSQ Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:223-232 [Conf]
  28. Jalal A. Wehbeh, Daniel G. Saab
    On the Initialization of Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:233-239 [Conf]
  29. Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda
    An Automatic Test Pattern Generator for Large Sequential Circuits Based on Genetic Algorithms. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:240-249 [Conf]
  30. Seongmoon Wang, Sandeep K. Gupta
    ATPG for Heat Dissipation Minimization During Test Application. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:250-258 [Conf]
  31. Mahesh A. Iyer, Miron Abramovici
    Sequentially Untestable Faults Identified Without Search ("Simple Implications Beat Exhaustive Search!"). [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:259-266 [Conf]
  32. Michael G. Davis
    Implementation of a Dual-Segment Architecture for a High-Pin-Count VLSI Test System. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:267-272 [Conf]
  33. Didier Wimmers, Kris Sakaitani, Burnell G. West
    500-MHz Testing on a 100-MHz Tester. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:273-278 [Conf]
  34. Mary Sue Haydt, Robert Michael Owens, Samiha Mourad
    Modeling the Effect of Ground Bounce on Noise Margin. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:279-285 [Conf]
  35. Eric Kushnick
    Modular Mixed Signal Testing: High Speed or High Resolution. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:286-290 [Conf]
  36. Gordon R. McLeod
    Built-in System Test and Fault Location. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:291-299 [Conf]
  37. John Andrews
    Roadmap for Extending IEEE 1149.1 for Hierarchical Control of Locally-Stored, Standardized-Command-Set Test Programs. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:300-306 [Conf]
  38. Duy Le, Ivan Karolik, Ronald Smith, A. J. Mcgovern, Chyral Curette, Joseph Ulbin, Michael Zarubaiko, Charles Henry, Lewis Stevens
    Environmental Stress Testing with Boundary-Scan. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:307-313 [Conf]
  39. Lee Whetsel
    An Approach to Accelerate Scan Testing in IEEE 1149.1 Architectures. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:314-322 [Conf]
  40. Kee Sup Kim, Len Schultz
    Multi-Frequency, Multi-Phase Scan Chain. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:323-330 [Conf]
  41. Jau-Shien Chang, Chen-Shang Lin
    A Test-Clock Reduction Method for Scan-Designed Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:331-339 [Conf]
  42. Sanghyeon Baeg, William A. Rogers
    Hybrid Design for Testability Combining Scan and Clock Line Control and Method for Test Generation. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:340-349 [Conf]
  43. André DeHon
    In-System Timing Extraction and Control Through Scan-Based, Test-Access Ports. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:350-359 [Conf]
  44. Yasuhiro Konishi, T. Ogawa, M. Kumanoya
    Testing 256k Word x 16 Bit Cache DRAM (CDRAM). [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:360- [Conf]
  45. James A. Gasbarro
    Testing High Speed Drams. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:361- [Conf]
  46. Kent Stalnaker
    Practical Test Methods for Verification of the EDRAM. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:362- [Conf]
  47. Wha-Joon Lee
    Testing Issues on High Speed Synchronous DRAMs. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:363- [Conf]
  48. Kamalesh N. Ruparel
    Benchmarking. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:364- [Conf]
  49. Don Sterba
    Potential Solutions for Benchmarking Issues. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:365- [Conf]
  50. Kenneth E. Posse
    Multichip Module Testing Methodologies: What's In; What's Not. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:366- [Conf]
  51. Jed Eastman
    MCM Test Trade-Offs. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:367- [Conf]
  52. Vyacheslav N. Yarmolik, Michael Nicolaidis, O. Kebichi
    Aliasing-free Signature Analysis for RAM BIST. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:368-377 [Conf]
  53. Yervant Zorian, A. J. van de Goor, Ivo Schanstra
    An Effective BIST Scheme for Ring-Address Type FIFOs. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:378-387 [Conf]
  54. Craig Hunter, Jeff Slaton, Jim Eno, Romesh M. Jessani, Carl Dietz
    The PowerPC 603TM Microprocessor: An Array Built-In Self-Test Mechanism. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:388-394 [Conf]
  55. Brian Chess, Anthony Freitas, F. Joel Ferguson, Tracy Larrabee
    Testing CMOS Logic Gates for Realistic Shorts. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:395-402 [Conf]
  56. Sreejit Chakravarty, Paul J. Thadikaran
    A Study of IDDQ Subset Selection Algorithms for Bridging Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:403-412 [Conf]
  57. Charles F. Hawkins, Jerry M. Soden, Alan W. Righter, F. Joel Ferguson
    Defect Classes - An Overdue Paradigm for CMOS IC. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:413-425 [Conf]
  58. Thomas M. Storey, C. Lapihuska, E. Atwood, L. Su
    A Test Methodology to Support an ASEM MCM Foundry. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:426-435 [Conf]
  59. Andrew Flint
    Test Strategies for a Family of Complex MCMs. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:436-445 [Conf]
  60. Najmi T. Jarwala
    Designing "Dual-Personality" IEEE 1149.1-Compliant Multi-Chip Modules. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:446-455 [Conf]
  61. Jerry Katz
    A Case Study in the Use of Scan in microSparcTM Testing and Debug. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:456-460 [Conf]
  62. Thomas Burch, J. Hartmann, Günter Hotz, M. Krallmann, U. Nikolaus, Sudhakar M. Reddy, Uwe Sparmann
    A Hierarchical Environment for Interactive Test Engineering. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:461-470 [Conf]
  63. Solomon Max
    Ensuring System Traceability to International Standards. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:471-480 [Conf]
  64. Dhiraj K. Pradhan, Mitrajit Chatterjee
    GLFSR - A New Test Pattern Generator for Built-In Self-Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:481-490 [Conf]
  65. Rohit Kapur, Srinivas Patil, Thomas J. Snethen, Thomas W. Williams
    Design of an Efficient Weighted-Random-Pattern Generation System. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:491-500 [Conf]
  66. Krishnendu Chakrabarty, John P. Hayes
    Efficient Test-Response Compression for Multiple-Output Cicuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:501-510 [Conf]
  67. Timothy J. Dell
    ECC-On-SIMM Test Challenges. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:511-515 [Conf]
  68. James A. Gasbarro, Mark Horowitz
    Techniques for Characterizing DRAMs With a 500-MHz Interface. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:516-525 [Conf]
  69. Sang-Chul Oh, Jae-Ho Kim, Ho-Jeong Choi, Si-Don Choi, Ki Tae Park, Jong-Woo Park, Wha-Joon Lee
    Automatic Failure-Analysis System for High-Density DRAM. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:526-530 [Conf]
  70. William R. Kosar
    Detection and Correction of Systematic Type 1 Test Errors Through Concurrent Engineering. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:531-538 [Conf]
  71. Mick Tegethoff, Tom Chen
    Defects, Fault Coverage, Yield and Cost in Board Manufacturing. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:539-547 [Conf]
  72. Cheryl Ascarrunz
    HALT: Bridging the Gap Between Theory and Practice. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:548-554 [Conf]
  73. Simon Johnson
    Residual Charge on the Faulty Floating Gate MOS Transistor. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:555-561 [Conf]
  74. Eric Bruls
    Variable Supply Voltage Testing for Analogue CMOS and Bipolar Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:562-571 [Conf]
  75. Scott Davidson
    Is IDDQ Yield Loss Inevitable? [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:572-579 [Conf]
  76. John A. Masciola, Gerald K. Morgan, Geoffrey L. Templeton
    A Software Architecture for Mixed-Signal Functional Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:580-586 [Conf]
  77. Gregory A. Maston
    A Procedural Interface to Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:587-593 [Conf]
  78. Yuning Sun, Xiaoming Wang, Wanchun Shi
    An Intelligent Software-Integrated Environment of IC Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:594-603 [Conf]
  79. J. Th. van der Linden, M. H. Konijnenburg, A. J. van de Goor
    Parallel Pattern Fast Fault Simulation for Three-State Circuits and Bidirectional I/O. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:604-613 [Conf]
  80. Rolf Krieger, Bernd Becker, Martin Keim
    A Hybrid Fault Simulator for Synchronous Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:614-623 [Conf]
  81. Yoshinobu Higami, Seiji Kajihara, Kozo Kinoshita
    Reduced Scan Shift: A New Testing Method for Sequential Circuit. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:624-630 [Conf]
  82. Mustapha Slamani, Bozena Kaminska, Guy Quesnel
    An Integrated Approach for Analog Ciruit Testing with a Minmum Number of Detected Parameters. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:631-640 [Conf]
  83. R. J. A. Harvey, Andrew M. D. Richardson, Eric Bruls, Keith Baker
    Analogue Fault Simulation Based on Layout-Dependent Fault Models. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:641-649 [Conf]
  84. A. K. Lu, Gordon W. Roberts
    An Analog Multi-Tone Signal Generator for Built-In Self-Test Applications. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:650-659 [Conf]
  85. Alfred L. Crouch, Rick Ramus, Colin Maunder
    Low-Power Mode and IEEE 1149.1 Compliance - A Low-Power Solution. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:660-669 [Conf]
  86. Chauchin Su, Kychin Hwang, Shyh-Jye Jou
    An IDDQ Based Built-in Concurrent Test Technique for Interconnects in a Boundary-Scan Environment. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:670-676 [Conf]
  87. Savio N. Chau
    Fault Injection Boundary-Scan Design for Verification of Fault-Tolerant Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:677-682 [Conf]
  88. Takashi Sekino, Toshiyuki Okayasu
    Ultra Hi-Speed Pin-Electronics and Test Station Using GaAs IC. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:683-690 [Conf]
  89. Dennis Petrich
    Achieving +/-30ps Accuracy in the ATE Environment. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:691-700 [Conf]
  90. Marc Mydill
    A Test-System Architecture to Reduce Transmission Line Effects During High-Speed Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:701-709 [Conf]
  91. Ewa Sokolowska, Bozena Kaminska
    Application of Optoelectronic Techniques to High Speed Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:710-719 [Conf]
  92. M. Calha, Marcelino B. Santos, Fernando M. Gonçalves, Isabel C. Teixeira, João Paulo Teixeira
    Back Annotation of Physical Defects into Gate-Level, Realistic Faults in Digital ICs. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:720-728 [Conf]
  93. Olaf Stern, Hans-Joachim Wunderlich
    Simulation Results of an Efficient Defect-Analysis Procedure. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:729-738 [Conf]
  94. Peter C. Maxwell, Robert C. Aitken, Leendert M. Huisman
    The Effect on Quality of Non-Uniform Fault Coverage and Fault Probability. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:739-746 [Conf]
  95. Frank Bouwman, Taco Zwemstra, Sonny Hartanto, Keith Baker, Jan Koopmans
    Application of Joint Time-Frequency Analysis in Mixed-Signal Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:747-756 [Conf]
  96. Luke S. L. Hsieh, Sandeep P. Kumar
    Digitizer Error Extraction in the Nonlinearity Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:757-762 [Conf]
  97. Yves Langard, Jean-Luc Balat, Jacques Durand
    An Improved Method of ADC Jitter Measurement. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:763-770 [Conf]
  98. Gregory W. Papadeas, David Gauthier
    An On-Line Data Collection and Analysis System for VLSI Devices at Wafer Probe and Final Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:771-780 [Conf]
  99. Scott A. Erjavic
    Test Station Workcell Controller and Resource Relationship Design. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:781-792 [Conf]
  100. Tamorah Comard, Madhukar Joshi, Donald A. Morin, Kimberley Sprague
    Calculating Error of Measurement on High-Speed Microprocessor Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:793-801 [Conf]
  101. Douglas W. Raymond, Philip J. Stringer, Harold W. Ng, Michael Mitsumata, Robert Burk
    Goal-Directed Vector Generation Using Sample ICs. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:802-810 [Conf]
  102. Gordon D. Robinson
    NAND Trees Accurately Diagnose Board-Level Pin Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:811-816 [Conf]
  103. Douglas W. Raymond, Dominic F. Haigh, Ray Bodick, Barbara Ryan, Dale McCombs
    Non-Volatile Programmable Devices and In-Circuit Test. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:817-823 [Conf]
  104. A. Jefferson Offutt
    A Practical System for Mutation Testing: Help for the Common Programmer. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:824-830 [Conf]
  105. Hwei Yin, James M. Bieman
    Improving Software Testability with Assertion Insertion. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:831-839 [Conf]
  106. Anneliese von Mayrhauser, Jeff Walls, Richard T. Mraz
    Sleuth: A Domain-Based Testing Tool. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:840-849 [Conf]
  107. Alaaeldin A. Amin, Mohamed Y. Osman, Radwan E. Abdel-Aal, Husni Al-Muhtaseb
    Efficient O(sqrt(n)) BIST Algorithms for DDNPS Faults in Dual-Port Memories. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:850-859 [Conf]
  108. Mark G. Karpovsky, Vyacheslav N. Yarmolik
    Transparent Memory Testing for Pattern-Sensitive Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:860-869 [Conf]
  109. A. J. van de Goor, B. Smit
    Generating March Tests Automatically. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:870-878 [Conf]
  110. Ralph Sanchez
    Concurrent Engineering with DFT in the Digital System: A Parallel Process. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:879-886 [Conf]
  111. Cecil A. Dean, Yervant Zorian
    Do You Practice Safe Tests? What We Found Out About Your Habits. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:887-892 [Conf]
  112. Debaditya Mukherjee, Massoud Pedram, Melvin A. Breuer
    Control Strategies for Chip-Based DFT/BIST Hardware. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:893-902 [Conf]
  113. Mick Tegethoff, Tom Chen
    Manufacturing-Test Simulator: A Concurrent-Engineering Tool for Boards and MCMs. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:903-910 [Conf]
  114. Lars Eerenstein
    Testing Two Generations of HDTV Decoders - The Impact of Boundary-Scan. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:911-918 [Conf]
  115. Yunsheng Lu, Weiwei Mao, Ramaswami Dandapani, Ravi K. Gulati
    Structure and Metrology for a Single-wire Analog. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:919-928 [Conf]
  116. Mohammed F. AlShaibi, Charles R. Kime
    Fixed-Biased Pseudorandom Built-In Self-Test for Random-Pattern-Resistant Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:929-938 [Conf]
  117. Albrecht P. Stroele, Hans-Joachim Wunderlich
    Configuring Flip-Flops to BIST Registers. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:939-948 [Conf]
  118. Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda
    Making the Circular Self-Test Path Technique Effective for Real Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:949-957 [Conf]
  119. R. S. Ramchandani, Donald E. Thomas
    Behavioral-Test Generation using Mixed-Integer Non-linear Programming. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:958-967 [Conf]
  120. Chang Hyun Cho, James R. Armstrong
    B-algorithm: A Behavioral-Test Generation Algorithm. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:968-979 [Conf]
  121. Gianpiero Cabodi, Paolo Camurati, Stefano Quer
    Full-Symbolic ATPG for Large Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:980-988 [Conf]
  122. Henry Cox
    On Synthesizing Circuits With Implicit Testability Constraints. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:989-998 [Conf]
  123. Edward B. Pitty, Denis Martin, Hi-Kyeung Tony Ma
    A Simulation-Based Protocol-Driven Scan-Test-Design Rule Checker. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:999-1006 [Conf]
  124. Irith Pomeranz, Sudhakar M. Reddy
    On Achieving Complete Testability of Synchronous Sequential Circuits with Synchronizing Sequences. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:1007-1016 [Conf]
  125. Wojciech Maly
    Integration of Design, Manufacturing and Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:1017- [Conf]
  126. Lee Whetsel
    Navigating Test Access in Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:1018- [Conf]
  127. John Andrews
    Using SCANTM Bridge as an IEEE 1149.1 Protocol Addressable, Multi-Drop, Backplane Test Bus. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:1019- [Conf]
  128. Patrick F. McHugh
    The IEEE P1149.5 MTM-Bus, A Backplane Test and Initialization Interface. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:1020- [Conf]
  129. Cary Champlin
    Backplane Test Bus Selection Criteria. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:1021- [Conf]
  130. Robert Gage
    1149.1 Scan Control Transport Levels. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:1022- [Conf]
  131. Kenneth P. Parker
    Observations on the 1149.x Family of Standards. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:1023- [Conf]
  132. William Eklow
    Optimizing Boundary Scan in a Proprietary Environment. [Citation Graph (0, 0)][DBLP]
    ITC, 1994, pp:1024- [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002