The SCEAS System
Navigation Menu

Conferences in DBLP

International Test Conference (ITC) (itc)
2001 (conf/itc/2001)

  1. Sung Soo Chung, Sanghyeon Baeg
    AC-JTAG: empowering JTAG beyond testing DC nets. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:30-37 [Conf]
  2. Stephen K. Sunter, Ken Filliter, Joe Woo, Pat McHugh
    A general purpose 1149.4 IC with HF analog test capabilities. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:38-45 [Conf]
  3. Young Kim, Benny Lai, Kenneth P. Parker, Jeff Rearick
    Frequency detection-based boundary-scan testing of AC coupled nets. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:46-53 [Conf]
  4. Peter Wohl, John A. Waicukauski, Thomas W. Williams
    Design of compactors for signature-analyzers in built-in self-test. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:54-63 [Conf]
  5. Jongshin Shin, Xiaoming Yu, Elizabeth M. Rudnick, Miron Abramovici
    At-speed logic BIST using a frozen clock testing strategy. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:64-71 [Conf]
  6. Nicola Nicolici, Bashir M. Al-Hashimi
    Tackling test trade-offs for BIST RTL data paths: BIST area overhead, test application time and power dissipation. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:72-81 [Conf]
  7. Sagar S. Sabade, D. M. H. Walker
    Improved wafer-level spatial analysis for I_DDQ limit setting. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:82-91 [Conf]
  8. W. Robert Daasch, Kevin Cota, James McNames, Robert Madge
    Neighbor selection for variance reduction in I_DDQ and other parametric data. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:92-100 [Conf]
  9. Bram Kruseman, Rudger van Veen, Kees van Kaam
    The future of delta I_DDQ testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:101-110 [Conf]
  10. Patrick R. Gallagher Jr., Vivek Chickermane, Steven Gregor, Thomas S. Pierre
    A building block BIST methodology for SOC designs: a case study. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:111-120 [Conf]
  11. Bart Vermeulen, Steven Oostdijk, Frank Bouwman
    Test and debug strategy of the PNX8525 NexperiaTM digital video platform system chip. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:121-130 [Conf]
  12. Rohit Kapur, Maurice Lousberg, Tony Taylor, Brion L. Keller, Paul Reuter, Douglas Kay
    CTL the language for describing core-based test. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:131-139 [Conf]
  13. A. T. Sivaram
    Split timing mode (STM)-answer to dual frequency domain testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:140-147 [Conf]
  14. Andrew Moran, Jim Teisher, Andrew Gill, Emir Pasalic, John Veneruso
    Automated translation of legacy code for ATE. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:148-156 [Conf]
  15. R. L. Stevenson, M. E. Jarosz, C. V. Verver
    Remote access to engineering test-a case study in providing engineering/diagnostic IC test services to Canadian universities. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:157-162 [Conf]
  16. Roderick McConnell, Rochit Rajsuman, Eric A. Nelson, Jeffrey Dreibelbis
    Test and repair of large embedded DRAMs. I. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:163-172 [Conf]
  17. Eric A. Nelson, Jeffrey Dreibelbis, Roderick McConnell
    Test and repair of large embedded DRAMs. 2. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:173-181 [Conf]
  18. Yoshihiro Nagura, Michael Mullins, Anthony Sauvageau, Yoshinoro Fujiwara, Katsuya Furue, Ryuji Ohmura, Tatsunori Komoike, Takenori Okitaka, Tetsushi Tanizaki, Katsumi Dosaka, Kazutami Arimoto, Yukiyoshi Koda, Tetsuo Tada
    Test cost reduction by at-speed BISR for embedded DRAMs. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:182-187 [Conf]
  19. Kee Sup Kim, Rathish Jayabharathi, Craig Carstens, Praveen Vishakantaiah, Derek Feltham, Adrian Carbine
    DPDAT: data path direct access testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:188-195 [Conf]
  20. Irith Pomeranz, Sudhakar M. Reddy
    A method to enhance the fault coverage obtained by output response comparison of identical circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:196-203 [Conf]
  21. Stephen K. Sunter, Charles McDonald, Givargis Danialy
    Contactless digital testing of IC pin leakage currents. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:204-210 [Conf]
  22. Irith Pomeranz, Sudhakar M. Reddy
    On improving the stuck-at fault coverage of functional test sequences by using limited-scan operations. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:211-220 [Conf]
  23. Kaijie Wu, Ramesh Karri
    Algorithm level recomputing with allocation diversity: a register transfer level time redundancy based concurrent error detection technique. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:221-229 [Conf]
  24. Karl Thaller
    A highly-efficient transparent online memory test. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:230-239 [Conf]
  25. Shu-Yi Yu, Edward J. McCluskey
    On-line testing and recovery in TMR systems for real-time applications. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:240-249 [Conf]
  26. Silvia Chiusano, Giorgio Di Natale, Paolo Prinetto, Franco Bigongiari
    GRAAL: a tool for highly dependable SRAMs generation. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:250-257 [Conf]
  27. John T. Chen, Jitendra Khare, Ken Walker, Saghir A. Shaikh, Janusz Rajski, Wojciech Maly
    Test response compression and bitmap encoding for embedded memories in manufacturing process monitoring. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:258-267 [Conf]
  28. Ruifeng Guo, Srikanth Venkataraman
    A technique for fault diagnosis of defects in scan chains. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:268-277 [Conf]
  29. David B. Lavo, Tracy Larrabee
    Making cause-effect cost effective: low-resolution fault dictionaries. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:278-286 [Conf]
  30. Thomas Bartenstein, Douglas Heaberlin, Leendert M. Huisman, David Sliwinski
    Diagnosing combinational logic designs using the single location at-a-time (SLAT) paradigm. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:287-296 [Conf]
  31. Bernd Laquai, Yi Cai
    Testing gigabit multilane SerDes interfaces with passive jitter injection filters. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:297-304 [Conf]
  32. Amir Attarha, Mehrdad Nourani
    Testing interconnects for noise and skew in gigahertz SoCs. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:305-314 [Conf]
  33. Ming-Jun Hsiao, Jing-Reng Huang, Shao-Shen Yang, Tsin-Yuan Chang
    A built-in timing parametric measurement unit. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:315-322 [Conf]
  34. Takahiro J. Yamaguchi, Mani Soma, Jim Nissen, David Halter, Rajesh Raina, Masahiro Ishida
    Testing clock distribution circuits using an analytic signal method. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:323-331 [Conf]
  35. Aranggan Venkataratnam, Kimberly E. Newman
    Rapid prototyping of time-based PDIT for substrate networks [MCM] . [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:332-339 [Conf]
  36. Thomas S. Barnett, Adit D. Singh, Victor P. Nelson
    Estimating burn-in fall-out for redundant memory. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:340-347 [Conf]
  37. Mohammad Athar Khalil, Chin-Long Wey
    Extreme-voltage stress vector generation of analog CMOS ICs for gate-oxide reliability enhancement. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:348-357 [Conf]
  38. Chao-Wen Tseng, Edward J. McCluskey
    Multiple-output propagation transition fault test. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:358-366 [Conf]
  39. Suriyaprakash Natarajan, Sandeep K. Gupta, Melvin A. Breuer
    Switch-level delay test of domino logic circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:367-376 [Conf]
  40. Fernando M. Gonçalves, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira
    Implicit functionality and multiple branch coverage (IFMB): a testability metric for RT-level. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:377-385 [Conf]
  41. Erik Peterson, Wanli Jiang
    Practical application of energy consumption ratio test. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:386-394 [Conf]
  42. Abhishek Singh, Chintan Patel, Shirong Liao, James F. Plusquellic, Anne E. Gattiker
    Detecting delay faults using power supply transient signal analysis. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:395-404 [Conf]
  43. Hoki Kim, D. M. H. Walker, David Colby
    A practical built-in current sensor for I_DDQ testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:405-414 [Conf]
  44. Klaus Helmreich
    Test path simulation and characterisation. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:415-423 [Conf]
  45. Sunil K. Jain, Greg P. Chema
    Testing beyond EPA: TDF methodology solutions matrix. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:424-432 [Conf]
  46. G. Dajee, N. Goldblatt, T. Lundquist, S. Kasapi, Keneth R. Wilsher
    Practical, non-invasive optical probing for flip-chip devices. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:433-442 [Conf]
  47. Ken Tumin, Carmen Vargas, Ross Patterson, Chris Nappi
    Scan vs. functional testing - a comparative effectiveness study on Motorola's MMC2107TM. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:443-450 [Conf]
  48. Don Douglas Josephson, Steve Poehhnan, Vincent Govan
    Debug methodology for the McKinley processor. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:451-460 [Conf]
  49. Michael Kessler, Gundolf Kiefer, Jens Leenstra, Knut Schünemann, Thomas Schwarz, Hans-Joachim Wunderlich
    Using a hierarchical DfT methodology in high frequency processor designs for improved delay fault testability. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:461-469 [Conf]
  50. F. G. M. de Jong, Alex S. Biewenga, D. C. L. van Geest, T. F. Waayers
    Testing and programming flash memories on assemblies during high volume production. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:470-479 [Conf]
  51. Stephen Harrison, Peter Collins, Greg Noeninckx, Peter Horwood
    Hierarchical boundary-scan: a Scan Chip-Set solution. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:480-486 [Conf]
  52. Alan Albee
    A practical guide to combining ICT & boundary scan testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:487-494 [Conf]
  53. Solomon Max
    Ramp testing of ADC transition levels using finite resolution ramps. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:495-501 [Conf]
  54. Udaya Natarajan
    Test challenges for SONET/SDH physical layer OC3 devices and beyond. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:502-511 [Conf]
  55. Mamoru Tamba, Atsushi Shimizu, Hideharu Munakata, Takanori Komuro
    A method to improve SFDR with random interleaved sampling method. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:512-520 [Conf]
  56. Ozgur Sinanoglu, Alex Orailoglu
    Space and time compaction schemes for embedded cores. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:521-529 [Conf]
  57. Rainer Dorsch, Hans-Joachim Wunderlich
    Tailoring ATPG for embedded testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:530-537 [Conf]
  58. Frank F. Hsu, Kenneth M. Butler, Janak H. Patel
    A case study on the implementation of the Illinois Scan Architecture. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:538-547 [Conf]
  59. Liang-Chi Chen, T. M. Mak, Sandeep K. Gupta, Melvin A. Breuer
    Crosstalk test generation on pseudo industrial circuits: a case study. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:548-557 [Conf]
  60. Angela Krstic, Jing-Jia Liou, Yi-Min Jiang, Kwang-Ting Cheng
    Delay testing considering crosstalk-induced effects. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:558-567 [Conf]
  61. Keith J. Keller, Hiroshi Takahashi, Kewal K. Saluja, Yuzo Takamatsu
    On reducing the target fault list of crosstalk-induced delay faults in synchronous sequential circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:568-577 [Conf]
  62. Don Douglas Josephson, Steve Poehlman, Vincent Govan, Clint Mumford
    Test methodology for the McKinley processor. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:578-585 [Conf]
  63. Mary P. Kusko, Bryan J. Robbins, Timothy J. Koprowski, William V. Huott
    99% AC test coverage using only LBIST on the 1 GHz IBM S/390 zSeries 900 Microprocessor. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:586-592 [Conf]
  64. Gilbert Vandling
    Modeling and testing the Gekko microprocessor, an IBM PowerPC derivative for Nintendo. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:593-599 [Conf]
  65. Andrea Baldini, Alfredo Benso, Paolo Prinetto, Sergio Mo, Andrea Taddei
    Towards a unified test process: from UML to end-of-line functional test. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:600-608 [Conf]
  66. Robert Tappe, Dietmar Ehrhardt
    Dynamic tests in complex systems [automotive electronics]. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:609-614 [Conf]
  67. William Eklow, Richard M. Sedmak, Dan Singletary, Toai Vo
    Unsafe board states during PC-based boundary-scan testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:615-623 [Conf]
  68. Jeff Rearick
    Too much delay fault coverage is a bad thing. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:624-633 [Conf]
  69. Manish Sharma, Janak H. Patel
    Testing of critical paths for delay faults. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:634-641 [Conf]
  70. Saravanan Padmanaban, Maria K. Michael, Spyros Tragoudas
    Exact path delay grading with fundamental BDD operations. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:642-651 [Conf]
  71. Lei Xu, Yihe Sun, Hongyi Chen
    Scan array solution for testing power and testing time. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:652-659 [Conf]
  72. Tsung-Chu Huang, Kuen-Jong Lee
    A token scan architecture for low power testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:660-669 [Conf]
  73. Jayashree Saxena, Kenneth M. Butler, Lee Whetsel
    An analysis of power reduction techniques in scan testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:670-677 [Conf]
  74. Nandini Sridhar, Michael S. Hsiao
    On efficient error diagnosis of digital circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:678-687 [Conf]
  75. Venkatram Krishnaswamy, A. B. Ma, Praveen Vishakantaiah
    A study of bridging defect probabilities on a Pentium (TM) 4 CPU. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:688-695 [Conf]
  76. Zoran Stanojevic, D. M. H. Walker
    FedEx - a fast bridging fault extractor. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:696-703 [Conf]
  77. Chintan Patel, Fidel Muradali, James F. Plusquellic
    Power supply transient signal integration circuit. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:704-712 [Conf]
  78. Jamie Cullen
    Scan test sequencing hardware for structural test. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:713-720 [Conf]
  79. Rohit Kapur, Thomas W. Williams
    Tester retargetable patterns. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:721-727 [Conf]
  80. Yu Huang, Chien-Chung Tsai, Neelanjan Mukherjee, Omer Samman, Dan Devries, Wu-Tung Cheng, Sudhakar M. Reddy
    On RTL scan design. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:728-737 [Conf]
  81. Harald P. E. Vranken, Tom Waayers, Hérvé Fleury, David Lelouvier
    Enhanced reduced pin-count test for full-scan design. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:738-747 [Conf]
  82. Carl Barnhart, Vanessa Brunkhorst, Frank Distler, Owen Farnsworth, Brion L. Keller, Bernd Könemann, Andrej Ferko
    OPMISR: the foundation for compressed ATPG vectors. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:748-757 [Conf]
  83. Jin-Fu Li, Kuo-Liang Cheng, Chih-Tsun Huang, Cheng-Wen Wu
    March-based RAM diagnosis algorithms for stuck-at and coupling faults. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:758-767 [Conf]
  84. Jörg E. Vollrath, Randall Rooney
    Pseudo fail bit map generation for RAMs during component test and burn-in in a manufacturing environment. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:768-775 [Conf]
  85. Herold Pilo, R. Dean Adams, Robert E. Busch, Eric A. Nelson, Geoerge E. Rudgers
    Bitline contacts in high density SRAMs: design for testability and stressability. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:776-782 [Conf]
  86. Zaid Al-Ars, A. J. van de Goor, Jens Braun, Detlev Richter
    Simulation based analysis of temperature effect on the faulty behavior of embedded DRAMs. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:783-792 [Conf]
  87. Gilly Nativ, Steven Mittermaier, Shmuel Ur, Avi Ziv
    Cost evaluation of coverage directed test generation for the IBM mainframe. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:793-802 [Conf]
  88. Zeljko Zilic, Katarzyna Radecka
    : Identifying redundant gate replacements in verification by error modeling. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:803-812 [Conf]
  89. Qiushuang Zhang, Ian G. Harris
    A validation fault model for timing-induced functional errors. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:813-820 [Conf]
  90. Alessandro Fin, Franco Fummi, Graziano Pravadelli
    AMLETO: a multi-language environment for functional test generation. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:821-829 [Conf]
  91. Seongwon Kim, Mani Soma
    Test evaluation and data on defect-oriented BIST architecture for high-speed PLL. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:830-837 [Conf]
  92. Sasikumar Cherubal, Abhijit Chatterjee
    A high-resolution jitter measurement technique using ADC sampling. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:838-847 [Conf]
  93. Masashi Shimanouchi
    An approach to consistent jitter modeling for various jitter aspects and measurement methods. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:848-857 [Conf]
  94. Antonio H. Chan, Gordon W. Roberts
    A synthesizable, fast and high-resolution timing measurement device using a component-invariant vernier delay line. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:858-867 [Conf]
  95. Seongrnoon Wang
    Low hardware overhead scan based 3-weight weighted random BIST. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:868-877 [Conf]
  96. Hong-Sik Kim, Jin-kyue Lee, Sungho Kang
    A new multiple weight set calculation algorithm. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:878-884 [Conf]
  97. C. V. Krishna, Abhijit Jas, Nur A. Touba
    Test vector encoding using partial LFSR reseeding. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:885-893 [Conf]
  98. Huaguo Liang, Sybille Hellebrand, Hans-Joachim Wunderlich
    Two-dimensional test data compression for scan-based deterministic BIST. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:894-902 [Conf]
  99. Mark Malinoski, Burnell G. West
    Rapid-response temperature control provides new defect screening opportunities. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:903-907 [Conf]
  100. Scott Benner, Oluseyi Boroffice
    Optimal production test times through adaptive test programming. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:908-915 [Conf]
  101. Ajay Khoche, Rohit Kapur, David Armstrong, Thomas W. Williams, Mick Tegethoff, Jochen Rivoir
    A new methodology for improved tester utilization. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:916-923 [Conf]
  102. Michel Renovell, Penelope Faure, Jean Michel Portal, Joan Figueras, Yervant Zorian
    IS-FPGA : a new symmetric FPGA architecture with implicit scan. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:924-931 [Conf]
  103. Ian G. Harris, Premachandran R. Menon, Russell Tessier
    BIST-based delay path testing in FPGA architectures. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:932-938 [Conf]
  104. Cecilia Metra, Andrea Pagano, Bruno Riccò
    On-line testing of transient and crosstalk faults affecting interconnections of FPGA-implemented systems. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:939-947 [Conf]
  105. John Ferrario, Randy Wolf, Hanyi Ding
    Moving from mixed signal to RF test hardware development. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:948-956 [Conf]
  106. Hui S. Nam, Bernard Cuddy, Dieter Luecking
    A phase noise spectrum test solution for high volume mixed signal/wireless automatic test equipments. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:957-964 [Conf]
  107. Christian Olgaard, Sule Ozev, Alex Orailoglu
    Testability implications in low-cost integrated radio transceivers: a Bluetooth case study. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:965-974 [Conf]
  108. Peter Jakobsen, Jeffrey Dreibelbis, Gary Pomichter, Darren Anand, John E. Barth Jr., Michael R. Nelms, Jeffrey Leach, George M. Belansek
    Embedded DRAM built in self test and methodology for test insertion. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:975-984 [Conf]
  109. Yuejian Wu, Liviu Calin
    Shadow write and read for at-speed BIST of TDM SRAMs. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:985-994 [Conf]
  110. Volker Schöber, Steffen Paul, Olivier Picot
    Memory built-in self-repair using redundant words. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:995-1001 [Conf]
  111. Xinli Gu, Sung Soo Chung, Frank Tsang, Jan Arild Tofte, Hamid Rahmanian
    An effort-minimized logic BIST implementation method. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1002-1010 [Conf]
  112. Snezana Dikic, Lars-Johan Fritz, Dario Dell'Aquia
    BIST and fault insertion re-use in telecom systems. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1011-1016 [Conf]
  113. John Braden, Qing Lin, Brian Smith
    Use of BIST in Sun FireTM servers. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1017-1022 [Conf]
  114. Vikram Iyengar, Krishnendu Chakrabarty, Erik Jan Marinissen
    Test wrapper and test access mechanism co-optimization for system-on-chip. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1023-1032 [Conf]
  115. Chauchin Su, Wenliang Tseng
    Configuration free SoC interconnect BIST methodology. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1033-1038 [Conf]
  116. Michel Renovell, Jean Marc Galliere, Florence Azaïs, Serge Bernard, Yves Bertrand
    Boolean and current detection of MOS transistor with gate oxide short. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1039-1048 [Conf]
  117. Chao-Wen Tseng, Chien-Mo James Li, Mike Purtell, Edward J. McCluskey
    Testing for resistive opens and stuck opens. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1049-1058 [Conf]
  118. Yasuo Sato, Msaki Kohno, Toshio Ikeda, Iwao Yamazaki, Masato Hamamoto
    An evaluation of defect-oriented test: WELL-controlled low voltage test. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1059-1067 [Conf]
  119. Srivaths Ravi, Niraj K. Jha
    Fast test generation for circuits with RTL and gate-level views. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1068-1077 [Conf]
  120. Yong Chang Kim, Vishwani D. Agrawal, Kewal K. Saluja
    Combinational test generation for various classes of acyclic sequential circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1078-1087 [Conf]
  121. Xijiang Lin, Janusz Rajski, Irith Pomeranz, Sudhakar M. Reddy
    On static test compaction and test pattern ordering for scan designs. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1088-1097 [Conf]
  122. Erik H. Volkerink, Ajay Khoche, Linda A. Kamas, Jochen Rivoir, Hans G. Kerkhoff
    Tackling test trade-offs from design, manufacturing to market using economic modeling. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1098-1107 [Conf]
  123. Thiagarajan Trichy, Peter Sandborn, Ravi Raghavan, Shubhada Sahasrabudhe
    A new test/diagnosis/rework model for use in technical cost modeling of electronic systems assembly. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1108-1117 [Conf]
  124. Russell B. Miller, Walter C. Riordan
    Unit level predicted yield: a method of identifying high defect density die at wafer sort. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1118-1127 [Conf]
  125. Atsushi Oshima, John Poniatowski, Toshihiro Nomura
    Pin electronics IC for high speed differential devices. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1128-1133 [Conf]
  126. John Cheng
    When zero picoseconds edge placement accuracy is not enough. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1134-1142 [Conf]
  127. David C. Keezer, Q. Zhou, C. Bair, J. Kuan, B. Poole
    Terabit-per-second automated digital testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1143-1189 [Conf]
  128. Mohamed Hafed, Nazmy Abaskharoun, Gordon W. Roberts
    A stand-alone integrated test core for time and frequency domain measurements. [Citation Graph (0, 0)][DBLP]
    ITC, 2001, pp:1190-1199 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002