The SCEAS System
Navigation Menu

Conferences in DBLP

International Test Conference (ITC) (itc)
2003 (conf/itc/2003)

  1. David W. Yen
    Seeing Chip Testability Through a Systems Person's Eyes. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:12- [Conf]
  2. Janusz Rajski
    Test Challenges of Nanometer Technology. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:13-22 [Conf]
  3. Jean Michel Portal, H. Aziza, Didier Née
    EEPROM Memory: Threshold Voltage Built In Self Diagnosis. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:23-28 [Conf]
  4. Chih-Wea Wang, Kuo-Liang Cheng, Jih-Nung Lee, Yung-Fa Chou, Chih-Tsun Huang, Cheng-Wen Wu, Frank Huang, Hong-Tzer Yang
    Fault Pattern Oriented Defect Diagnosis for Memories. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:29-38 [Conf]
  5. Derek Wright, Manoj Sachdev
    Transistor-Level Fault Analysis and Test Algorithm Development for Ternary Dynamic Content Addressable Memorie. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:39-47 [Conf]
  6. Masashi Shimanouchi
    Periodic Jitter Injection with Direct Time Synthesis by SPPTM ATE for SerDes Jitter Tolerance Test in Production. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:48-57 [Conf]
  7. Takahiro J. Yamaguchi, Mani Soma, Masahiro Ishida, Makoto Kurosawa, Hirobumi Musha
    Effects of Deterministic Jitter in a Cable on Jitter Tolerance Measurements. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:58-66 [Conf]
  8. Henry C. Lin, Karen Taylor, Alan Chong, Eddie Chan, Mani Soma, Hosam Haggag, Jeff Huard, Jim Braatz
    CMOS Built-In Test Architecture for High-Speed Jitter Measurement. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:67-76 [Conf]
  9. Thomas S. Barnett, Adit D. Singh
    Relating Yield Models to Burn-In Fall-Out in Time. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:77-84 [Conf]
  10. Yoshihito Nishizaki, Osamu Nakayama, Chiaki Matsumoto, Yoshitaka Kimura, Toshimi Kobayashi, Hiroyuki Nakamura
    Testing DSM ASIC With Static, \DeltaIDDQ, And Dynamic Test Suite: Implementation And Results. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:85-94 [Conf]
  11. Oleg Semenov, Arman Vassighi, Manoj Sachdev, Ali Keshavarzi, Charles F. Hawkins
    Burn-in Temperature Projections for Deep Sub-micron Technologies. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:95-104 [Conf]
  12. Haihua Yan, Adit D. Singh
    Experiments in Detecting Delay Faults using Multiple Higher Frequency Clocks and Results from Neighboring Die. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:105-111 [Conf]
  13. Xiaoliang Bai, Sujit Dey, Angela Krstic
    HyAC: A Hybrid Structural SAT Based ATPG for Crosstalk. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:112-121 [Conf]
  14. Rahul Kundu, R. D. (Shawn) Blanton
    Path Delay Test Generation for Domino Logic Circuits in the Presence of Crosstalk. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:122-130 [Conf]
  15. S. R. Seward, Parag K. Lala
    Fault Injection for Verifying Testability at the VHDL Level. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:131-137 [Conf]
  16. Miroslav N. Velev
    Collection of High-Level Microprocessor Bugs from Formal Verification of Pipelined and Superscalar Designs. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:138-147 [Conf]
  17. Amir Hekmatpour, James Coulter
    Coverage-Directed Management and Optimization of Random Functional Verification. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:148-155 [Conf]
  18. Eric Starkloff, Tim Fountain, Garth Black
    The PXI Modular Instrumentation Architecture. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:156-165 [Conf]
  19. J. S. Davis, David C. Keezer, O. Liboiron-Ladouceur, K. Bergman
    Application and Demonstration of a Digital Test Core: Optoelectronic Test Bed and Wafer-level Prober. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:166-174 [Conf]
  20. Ahmed Rashid Syed
    RIC/DICMOS-- Multi-channel CMOS Formatter. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:175-184 [Conf]
  21. Maurizio Gavardoni
    Data flow within an open architecture tester. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:185-190 [Conf]
  22. David C. Keezer, Dany Minier, Marie-Christine Caron
    A Production-Oriented Multiplexing System for Testing above 2.5 Gbps. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:191-200 [Conf]
  23. Serge Bernard, Mariane Comte, Florence Azaïs, Yves Bertrand, Michel Renovell
    A New Methodology For ADC Test Flow Optimization. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:201-209 [Conf]
  24. Gwenolé Maugard, Carsten Wegener, Tom O'Dwyer, Michael Peter Kennedy
    Method of reducing contactor effect when testing high-precision ADCs. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:210-217 [Conf]
  25. Le Jin, Kumar Parthasarathy, Turker Kuyel, Degang Chen, Randall L. Geiger
    Linearity Testing of Precision Analog-to-Digital Converters Using Stationary Nonlinear Inputs. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:218-227 [Conf]
  26. Stephen K. Sunter
    Testing High Frequency ADCs and DACs with a Low Frequency Analog Bus. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:228-235 [Conf]
  27. Franco Stellari, Peilin Song, Moyra K. McManus, Robert Gauthier, Alan J. Weger, Kiran V. Chatty, Mujahid Muhammad, Pia Sanda
    Optical and Electrical Testing of Latchup in I/O Interface Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:236-245 [Conf]
  28. Keneth R. Wilsher
    Designed -in-diagnostics: A new optical method. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:246-253 [Conf]
  29. Romain Desplats, Felix Beaudoin, Philippe Perdu, Nagamani Nataraj, Ted Lundquist, Ketan Shah
    Fault Localization using Time Resolved Photon Emission and STIL Waveforms. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:254-263 [Conf]
  30. Jeremy A. Rowlette, Travis M. Eiles
    Critical Timing Analysis in Microprocessors Using Near-IR Laser Assisted Device Alteration (LADA). [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:264-273 [Conf]
  31. Vishwani D. Agrawal, A. V. S. S. Prasad, Madhusudan V. Atre
    Fault Collapsing via Functional Dominance. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:274-280 [Conf]
  32. Qingwei Wu, Michael S. Hsiao
    Efficient Sequential ATPG Based on Partitioned Finite-State-Machine Traversal. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:281-289 [Conf]
  33. Liang Zhang, Indradeep Ghosh, Michael S. Hsiao
    Efficient Sequential ATPG for Functional RTL Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:290-298 [Conf]
  34. Mahesh A. Iyer
    Race: A Word-Level ATPG-Based Constraints Solver System For Smart Random Simulation. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:299-308 [Conf]
  35. Thomas J. Vogels, Wojciech Maly, R. D. (Shawn) Blanton
    Progressive Bridge Identification. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:309-318 [Conf]
  36. Yu Huang, Wu-Tung Cheng, Sudhakar M. Reddy, Cheng-Ju Hsieh, Yu-Ting Hung
    Statistical Diagnosis for Intermittent Scan Chain Hold-Time Fault. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:319-328 [Conf]
  37. Zhiyuan Wang, Kun-Han Tsai, Malgorzata Marek-Sadowska, Janusz Rajski
    An Efficient and Effective Methodology on the Multiple Fault Diagnosis. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:329-338 [Conf]
  38. Angela Krstic, Li-C. Wang, Kwang-Ting Cheng, T. M. Mak
    Diagnosis-Based Post-Silicon Timing Validation Using Statistical Tools and Methodologies. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:339-348 [Conf]
  39. YongJoon Kim, DongSub Song, YongSeung Shin, Sunghoon Chun, Sungho Kang
    A New Maximal Diagnosis Algorithm for Bus-structured Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:349-357 [Conf]
  40. Kevin Melocco, Hina Arora, Paul Setlak, Gary Kunselman, Shazia Mardhani
    A Comprehensive Approach to Assessing and Analyzing 1149.1 Test Logic. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:358-367 [Conf]
  41. Kendrick Baker
    Constructive Pattern Generation Heuristic for Meeting SSO Limits. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:368- [Conf]
  42. Henk D. L. Hollmann, Erik Jan Marinissen, Bart Vermeulen
    Optimal Interconnect ATPG Under a Ground-Bounce Constraint. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:369-378 [Conf]
  43. Davide Appello, Paolo Bernardi, Alessandra Fudoli, Maurizio Rebaudengo, Matteo Sonza Reorda, Vincenzo Tancorre, Massimo Violante
    Exploiting Programmable BIST For The Diagnosis of Embedded Memory Cores. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:379-385 [Conf]
  44. Theo J. Powell, Wu-Tung Cheng, Joseph Rayhawk, Omer Samman, Paul Policke, Sherry Lai
    BIST for Deep Submicron ASIC Memories with High Performance Application. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:386-392 [Conf]
  45. Jin-Fu Li, Jen-Chieh Yeh, Rei-Fu Huang, Cheng-Wen Wu, Peir-Yuan Tsai, Archer Hsu, Eugene Chow
    A Built-In Self-Repair Scheme for Semiconductor Memories with 2-D Redundancy. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:393-402 [Conf]
  46. Kranthi K. Pinjala, Bruce C. Kim, Pramodchandran N. Variyam
    Automatic Diagnostic Program Generation for Mixed Signal Load Board. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:403-409 [Conf]
  47. Nobuhiro Sato, Yoshihiro Hashimoto
    A High Precision IDDQ Measurement System With Improved Dynamic Load Regulation. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:410-414 [Conf]
  48. Tomokazu Yoneda, Tetsuo Uchiyama, Hideo Fujiwara
    Area and Time Co-Optimization for System-on-a-Chip based on Consecutive Testability. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:415-422 [Conf]
  49. Yu-Shen Yang, Jiang Brandon Liu, Paul J. Thadikaran, Andreas G. Veneris
    Extraction Error Diagnosis and Correction in High-Performance Designs. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:423-430 [Conf]
  50. Nektarios Kranitis, George Xenoulis, Antonis M. Paschalis, Dimitris Gizopoulos, Yervant Zorian
    Application and Analysis of RT-Level Software-Based Self-Testing for Embedded Processor Cores. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:431-440 [Conf]
  51. Irith Pomeranz
    Reducing Test Data Volume Using Random-Testable and Periodic-Testable Scan Chains in Circuits with Multiple Scan Chains. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:441-450 [Conf]
  52. Armin Würtenberger, Christofer S. Tautermann, Sybille Hellebrand
    A Hybrid Coding Strategy For Optimized Test Data Compression. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:451-459 [Conf]
  53. Lei Li, Krishnendu Chakrabarty
    Deterministic BIST Based on a Reconfigurable Interconnection Network. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:460-469 [Conf]
  54. Bhargab B. Bhattacharya, Sharad C. Seth, Sheng Zhang
    Double-Tree Scan: A Novel Low-Power Scan-Path Architecture. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:470-479 [Conf]
  55. Takaki Yoshida, Masafumi Watati
    A New Approach for Low Power Scan Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:480-487 [Conf]
  56. Yannick Bonhomme, Patrick Girard, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch
    Efficient Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:488-493 [Conf]
  57. Bill Eklow, Carl Barnhart, Mike Ricchetti, Terry Borroz
    IEEE 1149.6 - A Practical Perspective. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:494-502 [Conf]
  58. Kenneth E. Posse, Geir Eide
    Key Impediments to DFT-Focused Test and How to Overcome Them. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:503-511 [Conf]
  59. George Bao
    Challenges in Low Cost Test Approach for ARM9TM Core Based Mixed-Signal SoC DragonBallTM-MX1. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:512-519 [Conf]
  60. Michael A. Jones
    Ultra Low Cost Linear Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:520-527 [Conf]
  61. Jie Sun, Mike Li
    A Generic Test Path and DUT Model for DataCom ATE. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:528-536 [Conf]
  62. Thomas P. Warwick
    Mitigating the Effects of The DUT Interface board and Test System Parasitics in Gigabit-Plus Measurements. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:537-544 [Conf]
  63. Xiaoyun Sun, Larry L. Kinney, Bapiraju Vinnakota
    Test Vector Generation Based on Correlation Model for Ratio-Iddq. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:545-554 [Conf]
  64. Yukio Okuda, Nobuyuki Furukawa
    Hysteresis of Intrinsic IDDQ Currents. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:555-564 [Conf]
  65. Chris Schuermyer, Brady Benware, Kevin Cota, Robert Madge, W. Robert Daasch, L. Ning
    Screening VDSM Outliers using Nominal and Subthreshold Supply Voltage IDDQ. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:565-573 [Conf]
  66. Seongmoon Wang, Srimat T. Chakradhar
    A Scalable Scan-Path Test Point Insertion Technique to Enhance Delay Fault Coverage for Standard Scan Designs. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:574-583 [Conf]
  67. Puneet Gupta, Michael S. Hsiao
    High Quality ATPG for Delay Defects. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:584-591 [Conf]
  68. Wangqi Qiu, D. M. H. Walker
    An Efficient Algorithm for Finding the K Longest Testable Paths Through Each Gate in a Combinational Circuit. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:592-601 [Conf]
  69. Ozgur Sinanoglu, Alex Orailoglu
    Modeling Scan Chain Modifications For Scan-in Test Power Minimization. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:602-611 [Conf]
  70. Érika F. Cota, Luigi Carro, Flávio Rech Wagner, Marcelo Lubaszewski
    Power-aware NoC Reuse on the Testing of Core-based Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:612-621 [Conf]
  71. Qiang Xu, Nicola Nicolici
    On Reducing Wrapper Boundary Register Cells in Modular SOC Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:622-631 [Conf]
  72. Suzette Vandivier, Mark Wahl, Jeff Rearick
    First IC Validation of IEEE Std. 1149.6. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:632-639 [Conf]
  73. Ivan Duzevik
    Design and Implementation of IEEE 1149.6. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:640- [Conf]
  74. Lee Whetsel
    Adapting JTAG for AC Interconnect Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:641-650 [Conf]
  75. José Pineda de Gyvez, Guido Gronthoud, Rashid Amine
    VDD Ramp Testing for RF Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:651-658 [Conf]
  76. Iboun Taimiya Sylla
    Building An RF Source For Low Cost Testers Using An ADPLL Controlled By Texas Instruments Digital Signal Processor (DSP) TMS320C5402. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:659-664 [Conf]
  77. Achintya Halder, Soumendu Bhattacharya, Abhijit Chatterjee
    Automatic Multitone Alternate Test Generation For RF Circuits Using Behavioral Models. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:665-673 [Conf]
  78. Jeremy A. Walraven
    Introduction to Applications and Industries for Microelectromechanical Systems (MEMS). [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:674-680 [Conf]
  79. Tamal Mukherjee
    MEMS Design And Verification. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:681-690 [Conf]
  80. Gary K. Fedder
    MEMS Fabrication. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:691-698 [Conf]
  81. Wanli Jiang, Erik Peterson, Bob Robotka
    Effectiveness Improvement of ECR Tests. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:699-708 [Conf]
  82. Dhruva Acharyya, Jim Plusquellic
    Impedance Profile of a Commercial Power Grid and Test System. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:709-718 [Conf]
  83. B. Alorda, B. Bloechel, Ali Keshavarzi, Jaume Segura
    CHARDIN: An Off-Chip Transient Current Monitor with Digital Interface for Production Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:719-726 [Conf]
  84. Peter Wohl, John A. Waicukauski, Sanjay Patel, Minesh B. Amin
    X-Tolerant Compression And Application of Scan-ATPG Patterns In A BIST Architecture. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:727-736 [Conf]
  85. Ramesh C. Tekumalla
    On Reducing Aliasing Effects and Improving Diagnosis of Logic BIST Failures. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:737-744 [Conf]
  86. Janusz Rajski, Jerzy Tyszer, Chen Wang, Sudhakar M. Reddy
    Convolutional Compaction of Test Responses. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:745-754 [Conf]
  87. Peter Dahlgren, Paul Dickinson, Ishwar Parulkar
    Latch Divergency In Microprocessor Failure Analysis. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:755-763 [Conf]
  88. Scott Erlanger, Dilip K. Bhavsar, Richard Davies
    Testability Features of the Alpha 21364 Microprocessor. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:764-772 [Conf]
  89. Teresa L. McLaurin, Frank Frederick, Rich Slobodnik
    The Testability Features of The ARM1026EJ Microprocessor Core. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:773-782 [Conf]
  90. Jay J. Nejedlo
    TRIBuTETM Board and Platform Test Methodology: Intel's Next-Generation Test and Validation Methodology for Platforms. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:783- [Conf]
  91. Jay J. Nejedlo
    IBISTTM (Interconnect Built-in Self-Test) Architecture and Methodology for PCI Express: Intel?s Next-Generation Test and Validation Methodology for Performance IO. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:784- [Conf]
  92. Leon van de Logt, Frank van der Heyden, Tom Waayers
    An extension to JTAG for at-speed debug on a system. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:785-792 [Conf]
  93. A. T. Sivaram, Daniel Fan, Jon Pryce
    XML And Java For Open ATE Programming Environment. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:793-801 [Conf]
  94. Alfredo Benso, Stefano Di Carlo, Giorgio Di Natale, Paolo Prinetto, Luca Tagliaferri
    Data Critically Estimation In Software Applications. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:802-810 [Conf]
  95. Daniel Fan, Steve Roehling, Rusty Carruth
    Case Study - Using STIL as Test Pattern Language. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:811-817 [Conf]
  96. Paul Buxton, Paul Tabor
    Outlier Detection for DPPM Reduction. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:818-827 [Conf]
  97. Jeremy A. Walraven
    Failure Mechanisms in MEMS. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:828-833 [Conf]
  98. Jeremy A. Walraven
    Tools and Techniques for Failure Analysis and Qualification of MEMS. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:834-842 [Conf]
  99. Theresa Maudie, Alex Hardt, Rick Nielsen, Dennis Stanerson, Ron Bieschke, Mike Miller
    MEMS Manufacturing Testing: An Accelerometer Case Study. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:843-849 [Conf]
  100. Jeremy A. Walraven
    Future Challenges for MEMS Failure Analysis. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:850-855 [Conf]
  101. Wojciech Maly, Anne E. Gattiker, Thomas Zanon, Thomas J. Vogels, R. D. (Shawn) Blanton, Thomas M. Storey
    Deformations of IC Structure in Test and Yield Learning. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:856-865 [Conf]
  102. Bram Kruseman, Stefan van den Oetelaar
    Detection of Resistive Shorts in Deep Sub-micron Technologies. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:866-875 [Conf]
  103. R. D. (Shawn) Blanton, Kumar N. Dwarakanath, Anirudh B. Shah
    Analyzing the Effectiveness of Multiple-Detect Test Sets. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:876-885 [Conf]
  104. Martin Omaña, Daniele Rossi, Cecilia Metra
    Novel Transient Fault Hardened Static Latch. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:886-892 [Conf]
  105. Kartik Mohanram, Nur A. Touba
    Cost-Effective Approach for Reducing Soft Error Failure Rate in Logic Circuits. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:893-901 [Conf]
  106. Kaijie Wu, Ramesh Karri
    Register Transfer Level Approach to Hybrid Time and Hardware Redundancy Based Fault Secure Datapath Synthesis. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:902-911 [Conf]
  107. B. Kiran Kumar, Parag K. Lala
    On-line Detection of Faults in Carry-Select Adders. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:912-918 [Conf]
  108. Ramesh Karri, Grigori Kuznetsov, Michael Gössel
    Parity-Based Concurrent Error Detection in Symmetric Block Ciphers. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:919-926 [Conf]
  109. Hamidreza Hashempour, Fred J. Meyer, Fabrizio Lombardi, Farzin Karimi
    Hybrid Multisite Testing at Manufacturing. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:927-936 [Conf]
  110. Zhen Shi, Peter Sandborn
    Optimization of Test/Diagnosis/Rework Location(s) and Characteristics in Electronic Systems Assembly Using Real-Coded Genetic Algorithms. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:937-946 [Conf]
  111. Burnell G. West
    Simultaneous Bidirectional Test Data Flow for a Low-cost Wafer Test Strategy. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:947-951 [Conf]
  112. Liviu Miclea, Enyedi Szilárd, Gavril Toderean, Alfredo Benso, Paolo Prinetto
    Agent Based DBIST/DBISR And Its Web/Wireless Management. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:952-960 [Conf]
  113. Olivier Caty, Ismet Bayraktaroglu, Amitava Majumdar, Richard Lee, John Bell, Lisa Curhan
    Instruction Based BIST for Board/System Level Test of External Memories and Internconnects. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:961-970 [Conf]
  114. Hardi Hungar, Tiziana Margaria, Bernhard Steffen
    Test-Based Model Generation For Legacy Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:971-980 [Conf]
  115. Rakesh N. Joshi, Kenneth L. Williams, Lee Whetsel
    Evolution of IEEE 1149.1 Addressable Shadow Protocol Devices. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:981-987 [Conf]
  116. Francisco DaSilva, Yervant Zorian, Lee Whetsel, Karim Arabi, Rohit Kapur
    Overview of the IEEE P1500 Standard. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:988-997 [Conf]
  117. Michael G. Wahl, Sudipta Bhawmik, Kamran Zarrineh, Pradipta Ghosh, Scott Davidson, Peter Harrod
    The P1500 DFT Disclosure Document: A Standard to Communicate Mergeable Core DFT Data. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:998-1007 [Conf]
  118. Kenichi Kataoka, Toshihiro Itoh, Tadatomo Suga
    Low Contact-Force Fritting Probe Card Using Buckling Microcantilevers. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1008-1013 [Conf]
  119. Mike Tripp, T. M. Mak, Anne Meixner
    Elimination of Traditional Functional Testing of Interface Timings at Intel. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1014-1022 [Conf]
  120. Cheng Jia, Linda S. Milor
    A BIST Solution for The Test of I/O Speed. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1023-1030 [Conf]
  121. Brady Benware, Chris Schuermyer, Sreenevasan Ranganathan, Robert Madge, Prabhu Krishnamurthy, Nagesh Tamarapalli, Kun-Han Tsai, Janusz Rajski
    Impact of Multiple-Detect Test Patterns on Product Quality. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1031-1040 [Conf]
  122. Li-C. Wang, Angela Krstic, Leonard Lee, Kwang-Ting Cheng, M. Ray Mercer, Thomas W. Williams, Magdy S. Abadir
    Using Logic Models To Predict The Detection Behavior Of Statistical Timing Defects. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1041-1050 [Conf]
  123. Piet Engelke, Ilia Polian, Michel Renovell, Bernd Becker
    Simulating Resistive Bridging and Stuck-At Faults. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1051-1059 [Conf]
  124. Masao Naruse, Irith Pomeranz, Sudhakar M. Reddy, Sandip Kundu
    On-chip Compression of Output Responses with Unknown Values Using LFSR Reseeding. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1060-1068 [Conf]
  125. Harald P. E. Vranken, Friedrich Hapke, Soenke Rogge, Domenico Chindamo, Erik H. Volkerink
    ATPG Padding And ATE Vector Repeat Per Port For Reducing Test Data Volume. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1069-1078 [Conf]
  126. Huaxing Tang, Sudhakar M. Reddy, Irith Pomeranz
    On Reducing Test Data Volume and Test Application Time for Multiple Scan Chain Designs. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1079-1088 [Conf]
  127. Kun Young Chung, Sandeep K. Gupta
    Structural Delay Testing of Latch-based High-speed Pipelines with Time Borrowing. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1089-1097 [Conf]
  128. Jayashree Saxena, Kenneth M. Butler, Vinay B. Jayaram, Subhendu Kundu, N. V. Arvind, Pravin Sreeprakash, Manfred Hachinger
    A Case Study of IR-Drop in Structured At-Speed Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1098-1104 [Conf]
  129. Haluk Konuk, Leon Xiao
    DFFT : Design For Functional Testability. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1105-1114 [Conf]
  130. Clayton Gibbs
    Backplane Test Bus Applications For IEEE STD 1149.1. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1115-1128 [Conf]
  131. L. Forli, Jean Michel Portal, Didier Née, B. Borot
    Infrastructure IP for Back-End Yield Improvement. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1129-1134 [Conf]
  132. Erik Larsson, Zebo Peng
    A Reconfigurable Power-Conscious Core Wrapper and its Application to SOC Test Scheduling. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1135-1144 [Conf]
  133. Tom Waayers
    An improved Test Control Architecture and Test Control Expansion for Core-Based System Chips. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1145-1154 [Conf]
  134. Zhen Guo, Jacob Savir
    Analog Circuit Test using Transfer Function Coe .cient Estimates. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1155-1163 [Conf]
  135. Haralampos-G. D. Stratigopoulos, Yiorgos Makris
    Concurrent Error Detection in Linear Analog Circuits Using State Estimation. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1164-1173 [Conf]
  136. Ramakrishna Voorakaranam, Randy Newby, Sasikumar Cherubal, Bob Cometta, Thomas Kuehl, David M. Majernik, Abhijit Chatterjee
    Production Deployment of a Fast Transient Testing Methodology for Analog Circuits : Case Study and Results. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1174-1181 [Conf]
  137. Arun A. Joseph, Hans G. Kerkhoff
    Towards Structural Testing of Superconductor Electronics. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1182-1191 [Conf]
  138. Fei Su, Sule Ozev, Krishnendu Chakrabarty
    Testing of Droplet-Based Microelectrofluidic Systems. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1192-1200 [Conf]
  139. Mahim Mishra, Seth Copen Goldstein
    Defect Tolerance at the End of the Roadmap. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1201-1211 [Conf]
  140. Frank Poehl, Matthias Beck, Ralf Arnold, Peter Muhmenthaler, Nagesh Tamarapalli, Mark Kassab, Nilanjan Mukherjee, Janusz Rajski
    Industrial Experience with Adoption of EDT for Low-Cost Test without Concessions. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1211-1220 [Conf]
  141. Graham Hetherington, Richard Simpson
    Circular BIST testing the digital logic within a high speed Serdes. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1221-1228 [Conf]
  142. David M. Wu, Mike Lin, Subhasish Mitra, Kee Sup Kim, Anil Sabbavarapu, Talal Jaber, Pete Johnson, Dale March, Greg Parrish
    H-DFT: A Hybrid DFT Architecture For Low-Cost High Quality Structural Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1229-1238 [Conf]
  143. Erik Chmelar
    FPGA Interconnect Delay Fault Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1239-1247 [Conf]
  144. Dereck A. Fernandes, Ian G. Harris
    Application of Built in Self-Test for Interconnect Testing of FPGAs. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1248-1257 [Conf]
  145. Charles E. Stroud, Keshia N. Leach, Thomas A. Slaughter
    BIST for Xilinx 4000 and Spartan Series FPGAs: A Case Study. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1258-1267 [Conf]
  146. Kenneth P. Parker
    Defect Coverage of Boundary-Scan Tests: What does it mean when a Boundary-Scan test passes?. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1268-1276 [Conf]
  147. Wouter Rijckaert, Frans de Jong
    Board Test Coverage: The Value of Prediction and How to Compare Numbers. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1277- [Conf]
  148. Frans de Jong, Leon van de Logt
    IEEE P1581: To Live or Let die? [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1278- [Conf]
  149. Rubin A. Parekhji
    Panel Synopsis - How (In)Adequate is One Time Testing?. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1279- [Conf]
  150. Adit D. Singh
    Should Nanometer Circuits be Periodically Tested in the Field?. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1280- [Conf]
  151. Phil Nigh
    The Increasing Importance of On-line Testing to Ensure High-Reliability Products. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1281- [Conf]
  152. Michael Nicolaidis
    Reliability Threats in VDSM - Shortcomings in Conventional Test and Fault-Tolerance Alternatives. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1282- [Conf]
  153. Peter Ehlig
    How (In)Adequate is One-time Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1283- [Conf]
  154. Yervant Zorian
    Yield Threats and Inadequacy of One-time Test. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1284- [Conf]
  155. Geir Eide, Kenneth E. Posse
    Open Microphone - My DFT is better than yours ... [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1285- [Conf]
  156. Mustapha Slamani
    RF Test 101: Defining the Problem, Finding Solutions. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1286- [Conf]
  157. Abhijit Chatterjee
    Seamless Research Between Academia And Industry To Facilitate Test Of Integrated High-Speed Wireless Systems: Is This An Illusion? [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1287- [Conf]
  158. William R. Eisenstadt
    Improving Wireless Product Testing via University and Industry Collaboration. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1288- [Conf]
  159. Jim Paviol
    Improving Wireless Product Testing: An Opportunity for University and Industry Collaboratio. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1289- [Conf]
  160. Ian G. Harris
    The Confluence of Manufacturing Test and Design Validation. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1290- [Conf]
  161. Franco Fummi
    The Confluence of Manufacturing Test and Design Validation. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1291- [Conf]
  162. Prab Varma
    Design Verification Problems: Test To The Rescue?. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1292- [Conf]
  163. Kwang-Ting Cheng
    The Confluence of Manufacturing Test and Design Validation. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1293- [Conf]
  164. Jim Webster
    PXI: A Solution For Board Functional Test? [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1294- [Conf]
  165. Eric L. Smitt
    Selecting PXI Architecture for Board (System) Functional Test. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1295- [Conf]
  166. Bob Stasonis
    PXI - A New Architecture for Many Testing Requirements. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1296- [Conf]
  167. Fidel Muradali
    Future ATE: Perspectives & Requirements. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1297- [Conf]
  168. Donald L. Wheater
    ATE-Customer Perspectives & Requirements Panel. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1298- [Conf]
  169. John Roberts
    Test Outsourcing - A Subcontract Manufacturer's Perspective. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1299- [Conf]
  170. Lee Y. Song
    Future ATE: Perspectives & Requirements. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1300- [Conf]
  171. Tom Newsom
    Future ATE for System on a Chip... Some Perspectives. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1301- [Conf]
  172. Fidel Muradali
    Diagnosis in Modern Design - Just the Tip of the Iceberg. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1302- [Conf]
  173. Robert F. Molyneaux
    Debug and Diagnosis in the Age of System-on-a-Chip. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1303- [Conf]
  174. Bill Huott
    Diagnosis in Modern Design to Volume - The Tip of the Iceberg. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1304- [Conf]
  175. Wu-Tung Cheng
    Silicon Diagnosis. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1305- [Conf]
  176. Mike Li
    Production Test Challenges And Possible Solutions For Multiple GB/s ICs. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1306- [Conf]
  177. Takahiro J. Yamaguchi
    Open Architecture ATE and 250 Consecutive UIs. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1307- [Conf]
  178. John C. Johnson
    Cost Containment for High-Volume Test of Multi-GB/s Ports. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1308- [Conf]
  179. Mike Li
    Requirements, Challenges, And Solutions For Testing Multiple GB/s ICs In Production. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1309- [Conf]
  180. Ulrich Schoettmer, Bernd Laquai
    Managing the Multi-Gbit/s Test Challenges. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1310- [Conf]
  181. Burnell G. West
    Multi-GB/s IC Test Challenges and Solutions. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1311- [Conf]
  182. Yi Cai
    Jitter Test in Production for High Speed Serial Links. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1312- [Conf]
  183. Robert C. Aitken
    DFM: The Real 90nm Hurdle. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1313- [Conf]
  184. Robert C. Aitken
    Silicon IP And Successful DFM. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1314- [Conf]
  185. Cliff Ma
    DFM - An Industry Paradigm Shift. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1315- [Conf]
  186. Stefan Eichenberger
    Design for Manufacturability - or the meaning of 'subtle'. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1316- [Conf]
  187. Jitendra Khare
    DFM - A Fabless Perspective. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1317- [Conf]
  188. Tapio Koivukangas
    Testing 3G-controlled systems: time to rejoice or time to feel pain? [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1318- [Conf]
  189. Antti Sivula
    Next-Generation Devices and Networks Bring Opportunities and Challenges. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1319- [Conf]
  190. Alfredo Benso
    Self-Testing and Self-Healing via Mobile Agents. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1320- [Conf]
  191. John D. Bowne
    Standards Based Wireless Device Testing. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1321- [Conf]
  192. Moray Rumney
    Testing 3G-controlled systems: time to rejoice or time to feel pain? [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1322- [Conf]
  193. Tapio Koivukangas
    Testing Challenges of Future Wireless World. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1323- [Conf]
  194. Timo Piironen
    Board Life-Cycle Testing For Effective NPI Management of Wireless Products. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1324- [Conf]
  195. John Ferrario, Randy Wolf, Steve Moss
    Architecting Millisecond Test Solutions for Wireless Phone RFIC's. [Citation Graph (0, 0)][DBLP]
    ITC, 2003, pp:1325- [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002