The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Microarchitecture (MICRO) (micro)
2003 (conf/micro/2003)

  1. Kerry Bernstein
    Microarchitecture on the MOSFET Diet. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:3-6 [Conf]
  2. Dan Ernst, Nam Sung Kim, Shidhartha Das, Sanjay Pant, Rajeev R. Rao, Toan Pham, Conrad H. Ziesler, David Blaauw, Todd M. Austin, Krisztián Flautner, Trevor N. Mudge
    Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:7-18 [Conf]
  3. Hai Li, Chen-Yong Cher, T. N. Vijaykumar, Kaushik Roy
    VSV: L2-Miss-Driven Variable Supply-Voltage Scaling for Low Power. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:19-28 [Conf]
  4. Shubhendu S. Mukherjee, Christopher Weaver, Joel S. Emer, Steven K. Reinhardt, Todd M. Austin
    A Systematic Methodology to Compute the Architectural Vulnerability Factors for a High-Performance Microprocessor. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:29-42 [Conf]
  5. Bradford M. Beckmann, David A. Wood
    TLC: Transmission Line Caches. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:43-54 [Conf]
  6. Zeshan Chishti, Michael D. Powell, T. N. Vijaykumar
    Distance Associativity for High-Performance Energy-Efficient Non-Uniform Cache Architectures. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:55-66 [Conf]
  7. Se-Hyun Yang, Babak Falsafi
    Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:67-80 [Conf]
  8. Rakesh Kumar, Keith I. Farkas, Norman P. Jouppi, Parthasarathy Ranganathan, Dean M. Tullsen
    Single-ISA Heterogeneous Multi-Core Architectures: The Potential for Processor Power Reduction. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:81-92 [Conf]
  9. Canturk Isci, Margaret Martonosi
    Runtime Power Monitoring in High-End Processors: Methodology and Empirical Data. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:93-104 [Conf]
  10. Hangsheng Wang, Li-Shiuan Peh, Sharad Malik
    Power-driven Design of Router Microarchitectures in On-chip Networks. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:105-116 [Conf]
  11. Allan Hartstein, Thomas R. Puzak
    Optimum Power/Performance Pipeline Depth. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:117-128 [Conf]
  12. Nathan Clark, Hongtao Zhong, Scott A. Mahlke
    Processor Acceleration Through Automated Instruction Set Customization. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:129-140 [Conf]
  13. Silviu Ciricescu, Ray Essick, Brian Lucas, Phil May, Kent Moat, Jim Norris, Michael A. Schuette, Ali Saidi
    The Reconfigurable Streaming Vector Processor (RSVPTM). [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:141-150 [Conf]
  14. Richard A. Hankins, Trung A. Diep, Murali Annavaram, Brian Hirano, Harald Eri, Hubert Nueckel, John Paul Shen
    Scaling and Charact rizing Database Workloads: Bridging the Gap between Research and Practice. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:151-164 [Conf]
  15. Michael S. Schlansker
    In Memory of Bob Rau. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:165-168 [Conf]
  16. Kim M. Hazelwood, Michael D. Smith
    Generational Cache Management of Code Traces in Dynamic Optimization Systems. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:169-179 [Conf]
  17. Jiwei Lu, Howard Chen, Rao Fu, Wei-Chung Hsu, Bobbie Othmer, Pen-Chung Yew, Dong-yuan Chen
    The Performance of Runtime Data Cache Prefetching in a Dynamic Optimization System. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:180-190 [Conf]
  18. Leonid Baraz, Tevi Devor, Orna Etzion, Shalom Goldenberg, Alex Skaletsky, Yun Wang, Yigel Zemach
    IA-32 Execution Layer: a two-phase dynamic translator designed to support IA-32 applications on Itanium-based systems. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:191-204 [Conf]
  19. Vikram S. Adve, Chris Lattner, Michael Brukman, Anand Shukla, Brian Gaeke
    LLVA: A Low-level Virtual Instruction Set Architecture. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:205-216 [Conf]
  20. Ashutosh S. Dhodapkar, James E. Smith
    Comparing Program Phase Detection Techniques. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:217-227 [Conf]
  21. Brian A. Fields, Rastislav Bodík, Mark D. Hill, Chris J. Newburn
    Using Interaction Costs for Microarchitectural Bottleneck Analysis. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:228-242 [Conf]
  22. Daniel A. Jiménez
    Fast Path-Based Neural Branch Prediction. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:243-252 [Conf]
  23. Ho-Seop Kim, James E. Smith
    Hardware Support for Control Transfers in Code Caches. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:253-264 [Conf]
  24. Saisanthosh Balakrishnan, Gurindar S. Sohi
    Exploiting Value Locality in Physical Register Files. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:265-276 [Conf]
  25. Ilhyun Kim, Mikko H. Lipasti
    Macro-op Scheduling: Relaxing Scheduling Loop Constraints. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:277-290 [Conf]
  26. Steven Swanson, Ken Michelson, Andrew Schwerin, Mark Oskin
    WaveScalar. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:291-302 [Conf]
  27. Karthikeyan Sankaralingam, Stephen W. Keckler, William R. Mark, Doug Burger
    Universal Mechanisms for Data-Parallel Architectures. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:303-314 [Conf]
  28. Enric Gibert, F. Jesús Sánchez, Antonio González
    Flexible Compiler-Managed L0 Buffers for Clustered VLIW Processors. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:315-325 [Conf]
  29. Alex Aletà, Josep M. Codina, Antonio González, David R. Kaeli
    Instruction Replication for Clustered Microarchitectures. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:326-338 [Conf]
  30. G. Edward Suh, Dwaine E. Clarke, Blaise Gassend, Marten van Dijk, Srinivas Devadas
    Efficient Memory Integrity Verification and Encryption for Secure Processors. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:339-350 [Conf]
  31. Jun Yang, Youtao Zhang, Lan Gao
    Fast Secure Processor for Inhibiting Software Piracy and Tampering. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:351-360 [Conf]
  32. Stefanos Kaxiras, Georgios Keramidas
    IPStash: a Power-Efficient Memory Architecture for IP-lookup. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:361-372 [Conf]
  33. Jorge García, Jesús Corbal, Llorenç Cerdà, Mateo Valero
    Design and Implementation of High-Performance Memory Systems for Future Packet Buffers. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:373-386 [Conf]
  34. Ronald D. Barnes, Erik M. Nystrom, John W. Sias, Sanjay J. Patel, Nacho Navarro, Wen-mei W. Hwu
    Beating in-order stalls with "flea-flicker" two-pass pipelining. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:387-398 [Conf]
  35. Simha Sethumadhavan, Rajagopalan Desikan, Doug Burger, Charles R. Moore, Stephen W. Keckler
    Scalable Hardware Memory Disambiguation for High ILP Processors. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:399-410 [Conf]
  36. Il Park, Chong-liang Ooi, T. N. Vijaykumar
    Reducing Design Complexity of the Load/Store Queue. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:411-422 [Conf]
  37. Haitham Akkary, Ravi Rajwar, Srikanth T. Srinivasan
    Checkpoint Processing and Recovery: Towards Scalable Large Instruction Window Processors. [Citation Graph (0, 0)][DBLP]
    MICRO, 2003, pp:423-0 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002