The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Microarchitecture (MICRO) (micro)
1999 (conf/micro/1999)

  1. Fred J. Pollack
    New Microarchitecture Challenges in the Coming Generations of CMOS Process Technologies. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:2-0 [Conf]
  2. Eric Rotenberg, James E. Smith
    Control Independence in Trace Processors. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:4-15 [Conf]
  3. Glenn Reinman, Brad Calder, Todd M. Austin
    Fetch Directed Instruction Prefetching. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:16-27 [Conf]
  4. Timothy H. Heil, Zak Smith, James E. Smith
    Improving Branch Predictors by Correlating on Data Values. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:28-37 [Conf]
  5. Artur Klauser, Dirk Grunwald
    Instruction Fetch Mechanisms for Multipath Execution Processors. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:38-0 [Conf]
  6. Andrew Wolfe, Derek B. Noonburg
    A Superscalar 3D Graphics Engine. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:50-61 [Conf]
  7. Tulika Mitra, Tzi-cker Chiueh
    Dynamic 3D Graphics Workload Characterization and the Architectural Implications. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:62-71 [Conf]
  8. Jesús Corbal, Roger Espasa, Mateo Valero
    Exploiting a New Level of DLP in Multimedia Applications. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:72-0 [Conf]
  9. Sergei Y. Larin, Thomas M. Conte
    Compiler-Driven Cached Code Compression Schemes for Embedded ILP Processors. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:82-92 [Conf]
  10. Charles Lefurgy, Eva Piccininni, Trevor N. Mudge
    Evaluation of a High Performance Code Compression Method. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:93-102 [Conf]
  11. Lea Hwang Lee, Jeff Scott, Bill Moyer, John Arends
    Low-Cost Branch Folding for Embedded Applications with Small Tight Loops. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:103-0 [Conf]
  12. Santosh G. Abraham, Scott A. Mahlke
    Automatic and Efficient Evaluation of Memory Hierarchies for Embedded Systems. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:114-125 [Conf]
  13. Jamison D. Collins, Dean M. Tullsen
    Hardware Identification of Cache Conflict Misses. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:126-135 [Conf]
  14. Sangyeun Cho, Pen-Chung Yew, Gyungho Lee
    Access Region Locality for High-Bandwidth Processor Memory System Design. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:136-146 [Conf]
  15. Vijay S. Pai, Sarita V. Adve
    Code Transformations to Improve Memory Parallelism. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:147-0 [Conf]
  16. Daniel A. Connors, Wen-mei W. Hwu
    Compiler-Directed Dynamic Computation Reuse: Rationale and Initial Results. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:158-169 [Conf]
  17. Soner Önder, Rajiv Gupta
    Dynamic Memory Disambiguation in the Presence of Out-of-Order Store Issuing. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:170-176 [Conf]
  18. Andreas Moshovos, Gurindar S. Sohi
    Read-After-Read Memory Dependence Prediction. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:177-185 [Conf]
  19. Teresa Monreal, Antonio González, Mateo Valero, José González, Víctor Viñals
    Delaying Physical Register Allocation through Virtual-Physical Registers. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:186-0 [Conf]
  20. Bruce Shriver
    Core Technologies in Hardware and Software. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:194-0 [Conf]
  21. Todd M. Austin
    DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:196-207 [Conf]
  22. Mark Oskin, Justin Hensley, Diana Keen, Frederic T. Chong, Matthew K. Farrens, Aneet Chopra
    Exploiting ILP in Page-based Intelligent Memory. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:208-218 [Conf]
  23. Craig B. Zilles, Joel S. Emer, Gurindar S. Sohi
    The Use of Multithreading for Exception Handling. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:219-229 [Conf]
  24. Pedro Marcuello, Jordi Tubella, Antonio González
    Value Prediction for Speculative Multithreaded Architectures. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:230-0 [Conf]
  25. Enric Musoll
    Predicting the Usefulness of a Block Result: A Micro-Architectural Technique for High-Performance Low-Power Processors. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:238-247 [Conf]
  26. David H. Albonesi
    Selective Cache Ways: On-Demand Cache Resource Allocation. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:248-0 [Conf]
  27. Jay Bharadwaj, Kishore N. Menezes, Chris McKinsey
    Wavefront Scheduling: Path based Data Representation and Scheduling of Subgraphs. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:262-271 [Conf]
  28. Alexandre E. Eichenberger, Waleed Meleis
    Balance Scheduling: Weighting Branch Tradeoffs in Superblocks. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:272-283 [Conf]
  29. Kemal Ebcioglu, Erik R. Altman, Sumedh W. Sathaye, Michael Gschwind
    Optimizations and Oracle Parallelism with Dynamic Translation. [Citation Graph (0, 0)][DBLP]
    MICRO, 1999, pp:284-0 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002