The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Microarchitecture (MICRO) (micro)
1992 (conf/micro/1992)

  1. Michael Butler, Yale N. Patt
    An investigation of the performance of various dynamic scheduling techniques. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:1-9 [Conf]
  2. Kevin B. Theobald, Guang R. Gao, Laurie J. Hendren
    On the limits of program parallelism and its smoothability. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:10-19 [Conf]
  3. Sriram Vajapeyam, Wei-Chung Hsu
    On the instruction-level characteristics of scalar code in highly-vectorized scientific applications. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:20-28 [Conf]
  4. Meng-chou Chang, Feipei Lai, Rung-Ji Shang
    Exploiting instruction-level parallelism with the conjugate register file scheme. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:29-32 [Conf]
  5. Thang Tran, Chuan-lin Wu
    Limitation of superscalar microprocessor performance. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:33-36 [Conf]
  6. Chien-Ming Chen, Yunn Yen Chen, Chung-Ta King
    Branch merging for effective exploitation of instruction-level parallelism. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:37-40 [Conf]
  7. Alessandro De Gloria, Paolo Faraboschi, Mauro Olivieri
    A non-deterministic scheduler for a software pipelining compiler. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:41-44 [Conf]
  8. Scott A. Mahlke, David C. Lin, William Y. Chen, Richard E. Hank, Roger A. Bringmann
    Effective compiler support for predicated execution using the hyperblock. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:45-54 [Conf]
  9. Soo-Mook Moon, Kemal Ebcioglu
    An efficient resource-constrained global scheduling technique for superscalar and VLIW processors. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:55-71 [Conf]
  10. V. H. Allen, J. Janardhan, R. M. Lee, M. Srinivas
    Enhanced region scheduling on a program dependence graph. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:72-80 [Conf]
  11. Andrew Wolfe, Alex Chanin
    Executing compressed programs on an embedded RISC architecture. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:81-91 [Conf]
  12. William Y. Chen, Roger A. Bringmann, Scott A. Mahlke, Richard E. Hank, James E. Sicolo
    An efficient architecture for loop based data preloading. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:92-101 [Conf]
  13. John W. C. Fu, Janak H. Patel, Bob L. Janssens
    Stride directed prefetching in scalar processors. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:102-110 [Conf]
  14. André Seznec, Karl Courtel
    Controlling and sequencing a heavily pipelined floating-point operator. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:111-114 [Conf]
  15. Augustus K. Uht, Darin B. Johnson
    Data path issues in a highly concurrent machine. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:115-118 [Conf]
  16. Bogong Su, Wei Zhao, Zhizhong Tang, Stanley Habib
    A VLIW architecture for optimal execution of branch-intensive loops. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:119-124 [Conf]
  17. Michael J. Knieser, Christos A. Papachristou
    Y-Pipe: a conditional branching scheme without pipeline delays. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:125-128 [Conf]
  18. Tse-Yu Yeh, Yale N. Patt
    A comprehensive instruction fetch mechanism for a processor supporting speculative execution. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:129-139 [Conf]
  19. Carl J. Beckmann, Constantine D. Polychronopoulos
    Microarchitecture support for dynamic scheduling of acyclic task graphs. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:140-148 [Conf]
  20. Nadeem Malik, Richard J. Eickemeyer, Stamatis Vassiliadis
    Interlock collapsing ALU for increased instruction-level parallelism. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:149-157 [Conf]
  21. B. Ramakrishna Rau, Michael S. Schlansker, Parthasarathy P. Tirumalai
    Code generation schema for modulo scheduled loops. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:158-169 [Conf]
  22. Nancy J. Warter, Grant E. Haab, Krishna Subramanian, John W. Bockhaus
    Enhanced modulo scheduling for loops with conditional branches. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:170-179 [Conf]
  23. Steven R. Vegdahl
    A dynamic-programming technique for compacting loops. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:180-188 [Conf]
  24. Philip Lenir, Ramaswamy Govindarajan, Shashank S. Nemawarkar
    Exploiting instruction-level parallelism: the multithreaded approach. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:189-192 [Conf]
  25. Gary S. Tyson, Matthew K. Farrens, Andrew R. Pleszkun
    MISC: a Multiple Instruction Stream Computer. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:193-196 [Conf]
  26. Tokuzo Kiyohara, John C. Gyllenhaal
    Code scheduling for VLIW/superscalar processors with limited register files. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:197-201 [Conf]
  27. Thomas M. Conte
    Tradeoffs in processor/memory interfaces for superscalar processors. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:202-205 [Conf]
  28. Brian K. Bray, Michael J. Flynn
    Translation hint buffers to reduce access time of physically-addressed instruction caches. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:206-209 [Conf]
  29. Matthew K. Farrens, Arvin Park, Gary S. Tyson
    Modifying VM hardware to reduce address pin requirements. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:210-213 [Conf]
  30. Kent D. Wilken, David W. Goodwin
    Toward zero-cost branches using instruction registers. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:214-217 [Conf]
  31. Youfeng Wu
    Ordering functions for improving memory reference locality in a shared memory multiprocessor system. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:218-221 [Conf]
  32. William L. Lynch, Brian K. Bray, Michael J. Flynn
    The effect of page allocation on caches. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:222-225 [Conf]
  33. David Bernstein, Doron Cohen, Yuval Lavon, Vladimir Rainish
    Performance evaluation of instruction scheduling on the IBM RISC System/6000. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:226-235 [Conf]
  34. Manoj Franklin, Gurindar S. Sohi
    Register traffic analysis for streamlining inter-operation communication in fine-grain parallel processors. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:236-245 [Conf]
  35. Takaaki Kato, Toshihisa Ono, Nader Bagherzadeh
    Performance analysis and design methodology for a scalable superscalar architecture. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:246-255 [Conf]
  36. Steven J. Beaty
    Lookahead scheduling. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:256-259 [Conf]
  37. Philip H. Sweany, Steven J. Beaty
    Dominator-path scheduling: a global scheduling method. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:260-263 [Conf]
  38. Brian A. Malloy, Rajiv Gupta, Mary Lou Soffa
    A shape matching approach for scheduling fine-grained parallelism. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:264-267 [Conf]
  39. Shih-Hsu Huang, Cheng-Tsung Hwang, Yu-Chin Hsu, Yen-Jen Oyang
    A new approach to schedule operations across nested-ifs and nested-loops. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:268-271 [Conf]
  40. Harry Dwyer, Hwa C. Torng
    An out-of-order superscalar processor with speculative execution and fast, precise interrupts. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:272-281 [Conf]
  41. Benoît Dupont de Dinechin
    StaCS: a Static Control Superscalar architecture. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:282-291 [Conf]
  42. Andrea Capitanio, Nikil D. Dutt, Alexandru Nicolau
    Partitioned register files for VLIWs: a preliminary analysis of tradeoffs. [Citation Graph (0, 0)][DBLP]
    MICRO, 1992, pp:292-300 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002