The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Microarchitecture (MICRO) (micro)
1991 (conf/micro/91)

  1. Michael A. Schuette, John Paul Shen
    An Instruction-Level Performance Analysis of the Multiflow TRACE 14/300. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:2-11 [Conf]
  2. William Marcus Miller, Walid A. Najjar, A. P. Wim Böhm
    A Quantitative Analysis of Locality in Dataflow Programs. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:12-18 [Conf]
  3. Jeffrey C. Becker, Arvin Park, Matthew K. Farrens
    An Analysis of the Information Content of Address Reference Streams. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:19-24 [Conf]
  4. Pohua P. Chang, William Y. Chen, Scott A. Mahlke, Wen-mei W. Hwu
    Comparing Static and Dynamic Code Scheduling for Multiple-Instruction-Issue Processors. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:25-33 [Conf]
  5. Michael Butler, Yale N. Patt
    The Effect of Real Data Cache Behavior on the Performance of a Microarchitecture that Supports Dynamic Scheduling. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:34-41 [Conf]
  6. Brian K. Bray, Michael J. Flynn
    Strategies for Branch Target Buffers. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:42-50 [Conf]
  7. Tse-Yu Yeh, Yale N. Patt
    Two-Level Adaptive Training Branch Prediction. [Citation Graph (1, 0)][DBLP]
    MICRO, 1991, pp:51-61 [Conf]
  8. Matthew K. Farrens, Arvin Park
    Workload and Implementation Considerations for Dynamic Base Register Caching. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:62-68 [Conf]
  9. William Y. Chen, Scott A. Mahlke, Pohua P. Chang, Wen-mei W. Hwu
    Data Access Microarchitectures for Superscalar Processors with Compiler-Assisted Data Prefetching. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:69-73 [Conf]
  10. Jan Hoogerbrugge, Henk Corporaal, Hans Mulder
    Software Pipelining for Transport-Triggered Architectures. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:74-81 [Conf]
  11. Reese B. Jones, Vicki H. Allan
    Software Pipelining: An Evaluation of Enhanced Pipelining. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:82-92 [Conf]
  12. Mark Smotherman, Sanjay Krishnamurthy, P. S. Aravind, David Hunnicutt
    Efficient DAG Construction and Heuristic Calculation for Instruction Scheduling. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:93-102 [Conf]
  13. David Bernstein, Doron Cohen, Hugo Krawczyk
    Code Duplication: An Assist for Global Instruction Scheduling. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:103-113 [Conf]
  14. Mauricio Breternitz Jr., John Paul Shen
    Implementation Optimization Techniques for Architecture Synthesis of Application-Specific Processors. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:114-123 [Conf]
  15. Ramesh Karri, Alex Orailoglu
    ALPS: An Algorithm for Pipeline Data Path Synthesis. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:124-132 [Conf]
  16. Robert A. Walker, Shivkumar Ramabadran, Rajive Joshi, Steinar Flatland
    Increasing User Interaction During High-Level Synthesis. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:133-142 [Conf]
  17. Gautam B. Singh
    GRIP: Graphics Reduced Instruction Processor. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:143-152 [Conf]
  18. Bruce K. Holmer, Alvin M. Despain
    Viewing Instruction Set Design as an Optimization Problem. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:153-162 [Conf]
  19. Mario Nemirovsky, Forrest Brewer, Roger C. Wood
    DISC: Dynamic Instruction Stream Computer. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:163-171 [Conf]
  20. Haigeng Wang, Alexandru Nicolau, Roni Potasman
    A New Technique for Induction Variable Removal. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:172-180 [Conf]
  21. Gerben Essink, Emile H. L. Aarts, R. van Dongen, P. van Gerwen, Jan H. M. Korst, Kees A. Vissers
    Architecture and Programming of a VLIW Style Programmable Video Signal Processor. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:181-188 [Conf]
  22. Fredrik Dahlgren, Per Stenström
    On Reconfigurable On-Chip Data Caches. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:189-198 [Conf]
  23. Sunah Lee, Rajiv Gupta
    Executing Loops on a Fine-Grained MIMD Architecture. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:199-205 [Conf]
  24. Steven J. Beaty
    Genetic Algorithms and Instruction Scheduling. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:206-211 [Conf]
  25. Bogong Su, Jian Wang
    GURPR*: A New Global Software Pipelining Algorithm. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:212-216 [Conf]
  26. Samarina Makhdoom, Daniel Tabak, Richard Auletta
    Register/File/Cache Microarchitecture Study Using VHDL. [Citation Graph (0, 0)][DBLP]
    MICRO, 1991, pp:217-222 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002