The SCEAS System
Navigation Menu

Conferences in DBLP

International Symposium on Microarchitecture (MICRO) (micro)
1998 (conf/micro/98)

  1. Scott Rixner, William J. Dally, Ujval J. Kapasi, Brucek Khailany, Abelardo López-Lagunas, Peter R. Mattson, John D. Owens
    A Bandwidth-efficient Architecture for Media Processing. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:3-13 [Conf]
  2. Chia-Lin Yang, Barton Sano, Alvin R. Lebeck
    Exploiting Instruction Level Parallelism in Geometry Processing for Three Dimensional Graphics Applications. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:14-24 [Conf]
  3. Corinna G. Lee, Mark G. Stoodley
    Simple Vector Microprocessors for Multimedia Applications. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:25-36 [Conf]
  4. Ravi Bhargava, Lizy Kurian John, Brian L. Evans, Ramesh Radhakrishnan
    Evaluating MMX Technology Using DSP and Multimedia Applications. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:37-46 [Conf]
  5. Sangwook P. Kim, Gary S. Tyson
    Analyzing the Working Set Characteristics of Branch Execution. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:49-58 [Conf]
  6. Alexandre Farcy, Olivier Temam, Roger Espasa, Toni Juan
    Dataflow Analysis of Branch Mispredictions and Its Application to Early Resolution of Branch Outcomes. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:59-68 [Conf]
  7. A. N. Eden, Trevor N. Mudge
    The YAGS Branch Prediction Scheme. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:69-77 [Conf]
  8. T. N. Vijaykumar, Gurindar S. Sohi
    Task Selection for a Multiscalar Processor. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:81-92 [Conf]
  9. SangMin Shim, Soo-Mook Moon
    Split-path Enhanced Pipeline Scheduling for Loops with Control Flows. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:93-102 [Conf]
  10. Erik Nystrom, Alexandre E. Eichenberger
    Effective Cluster Assignment for Modulo Scheduling. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:103-114 [Conf]
  11. Cliff Young, Michael D. Smith
    Better Global Scheduling Using Path Profiles. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:115-123 [Conf]
  12. Glenn Reinman, Brad Calder
    Predictive Techniques for Aggressive Load Speculation. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:127-137 [Conf]
  13. Ben-Chung Cheng, Daniel A. Connors, Wen-mei W. Hwu
    Compiler-Directed Early Load-Address Generation. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:138-147 [Conf]
  14. Srikanth T. Srinivasan, Alvin R. Lebeck
    Load Latency Tolerance in Dynamically Scheduled Processors. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:148-159 [Conf]
  15. Lambert Schaelicke, Al Davis
    Improving I/O Performance with a Conditional Store Buffer. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:160-169 [Conf]
  16. Daniel H. Friendly, Sanjay J. Patel, Yale N. Patt
    Putting the Fill Unit to Work: Dynamic Optimizations for Trace Cache Microprocessors. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:173-181 [Conf]
  17. Chi-Keung Luk, Todd C. Mowry
    Cooperative Prefetching: Compiler and Hardware Support for Effective Instruction Prefetching in Modern Processors. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:182-194 [Conf]
  18. Guido Araujo, Paulo Centoducatte, Mario Cartes, Ricardo Pannain
    Code Compression Based on Operand Factorization. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:194-201 [Conf]
  19. Avinash Sodani, Gurindar S. Sohi
    Understanding the Differences Between Value Prediction and Instruction Reuse. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:205-215 [Conf]
  20. Stéphan Jourdan, Ronny Ronen, Michael Bekerman, Bishara Shomar, Adi Yoaz
    A Novel Renaming Scheme to Exploit Value Temporal Locality Through Physical Register Reuse and Unification. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:216-225 [Conf]
  21. Haitham Akkary, Michael A. Driscoll
    A Dynamic Multithreading Processor. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:226-236 [Conf]
  22. David López, Josep Llosa, Mateo Valero, Eduard Ayguadé
    Widening Resources: A Cost-effective Technique for Aggressive ILP Architectures. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:237-246 [Conf]
  23. Karel Driesen, Urs Hölzle
    The Cascaded Predictor: Economical and Adaptive Branch Target Prediction. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:249-258 [Conf]
  24. Kevin Skadron, Pritpal S. Ahuja, Margaret Martonosi, Douglas W. Clark
    Improving Prediction for Procedure Returns with Return-address-stack Repair Mechanisms. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:259-271 [Conf]
  25. John Kalamatianos, David R. Kaeli
    Predicting Indirect Branches via Data Compression. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:272-281 [Conf]
  26. Mahmut T. Kandemir, Alok N. Choudhary, J. Ramanujam, Prithviraj Banerjee
    Improving Locality Using Loop and Data Transformations in an Integrated Framework. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:285-297 [Conf]
  27. Timothy Kong, Kent D. Wilken
    Precise Register Allocation for Irregular Architectures. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:297-307 [Conf]
  28. Emre Özer, Sanjeev Banerjia, Thomas M. Conte
    Unified Assign and Schedule: A New Approach to Scheduling for Clustered Register File Microarchitectures. [Citation Graph (0, 0)][DBLP]
    MICRO, 1998, pp:308-315 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002