The SCEAS System
Navigation Menu

Conferences in DBLP

Asia and South Pacific Design Automation Conference (ASP-DAC) (aspdac)
1999 (conf/aspdac/1999)

  1. Xiang-Dong Tan, C.-J. Richard Shi
    Balanced Multi-Level Multi-Way Partitioning of Large Analog Circuits for Hierarchical Symbolic Analysis. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:1-4 [Conf]
  2. Youcef Bourai, C.-J. Richard Shi
    Symmetry Detection for Automatic Analog-Layout Recycling. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:5-8 [Conf]
  3. Huazhong Yang, Rong Luo, Hui Wang, Runsheng Liu
    An SA-Based Nonlinear Function Synthesizer for Linear Analog Integrated Circuits. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:9-0 [Conf]
  4. Jason Cong, Tianming Kong, Dongmin Xu, Faming Liang, Jun S. Liu, Wing Hung Wong
    Relaxed Simulated Tempering for VLSI Floorplan Designs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:13-16 [Conf]
  5. Fung Yu Young, D. F. Wong
    Slicing Floorplans with Boundary Constraint. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:17-20 [Conf]
  6. Xiaohai Wu, Changge Qiao, Xianlong Hong
    Design and Optimization of Power/Ground Network for Cell-Based VLSIs with Macro Cells. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:21-0 [Conf]
  7. Ju-Hyung Kim, Sung-Wook Hwang, Seung-Hoon Lee, Yong Jee
    An 8b 52MHz Double-Channel CMOS A/D Converter for High-Speed Data Communications. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:25-28 [Conf]
  8. Byeong-Lyeol Jeon, Kang-Jin Lee, Seung-Hoon Lee, Sang-Won Yoon
    A 10b 50 MHz CMOS A/D Converter for High-Speed Video Applications. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:29-32 [Conf]
  9. Byung-Soo Choi, Dong-Wook Lee, Dong-Ik Lee
    The Design of Delay Insensitive Asynchronous 16-bit Microprocessor. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:33-36 [Conf]
  10. Shin'ichi Wakabayashi, Tetsushi Koide, Naoyoshi Toshine, Mutsuaki Goto, Yoshikatsu Nakayama, Koichi Hatta
    An LSI Implementation of an Adaptive Genetic Algorithm with On-The Fly Crossover Operator Selection. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:37-40 [Conf]
  11. Li Jiang, Dongju Li, Shintaro Haba, Chawalit Honsawek, Hiroaki Kunieda
    Motion Estimator LSI for MPEG2 High Level Standard. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:41-44 [Conf]
  12. Jin-Kug Lee, Dong-Young Chang, Geun-Soon Kang, Seung-Hoon Lee
    A Single-Chip CMOS CCD Camera Interface Circuit with Digitally Controlled AGC. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:45-48 [Conf]
  13. Tae Hun Kim, Jeongsik Yang, Kyoo Hyun Lim, Jin Wook Kim, Jeong Eun Lee, Hyoung Sik Nam, Young Gon Kim, Jeong Pyo Kim, Sang Lin Byun, Bae Sung Kwon, Beomsup Kim
    16-bit DSP and System for Baseband / Voiceband Processing of IS-136 Cellular Telephony. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:49-0 [Conf]
  14. Jaijeet S. Roychowdhury
    Reduced-Order Modelling of Time-Varying Systems. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:53-56 [Conf]
  15. Onuttom Narayan, Jaijeet S. Roychowdhury
    Analysing Forced Oscillators with Multiple Time Scales. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:57-60 [Conf]
  16. Yao-Lin Jiang, Omar Wing
    Waveform Relaxation of Linear Integral-Differential Equations for Circuit Simulation. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:61-64 [Conf]
  17. Mark M. Gourary, Sergey G. Rusakov, Sergey L. Ulyanov, Michael M. Zharov, Kiran K. Gullapalli, Brian J. Mulvaney
    A New Technique to Exploit Frequency Domain Latency in Harmonic Balance Simulators. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:65-0 [Conf]
  18. Jong-Sheng Cherng, Sao-Jie Chen, Chia-Chun Tsai, Jan-Ming Ho
    An Efficient Two-Level Partitioning Algorithm for VLSI Circuits. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:69-72 [Conf]
  19. C. K. Eem, J. W. Chong
    An Efficient Iterative Improvement Technique for VLSI Circuit Partitioning Using Hybrid Bucket Structures. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:73-76 [Conf]
  20. Shiuann-Shiuh Lin, Wen-Hsin Chen, Wen-Wei Lin, TingTing Hwang
    A Clustering Based Linear Ordering Algorithm for K-Way Spectral Partitioning. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:77-80 [Conf]
  21. Jan-Yang Chang, Yu-Chen Liu, Ting-Chi Wang
    Faster and Better Spectral Algorithms for Multi-Way Partitioning. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:81-0 [Conf]
  22. Masayuki Takahashi, Kimihiro Ogawa, Kenneth S. Kundert
    VCO Jitter Simulation and Its Comparison With Measurement. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:85-88 [Conf]
  23. Hui Zheng, Wenjun Zhang, Lilin Tian, Zhilian Yang
    Enhancing the Efficiency of Reduction of Large RC networks By Pole Analysis via Congruence Transformations. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:89-92 [Conf]
  24. Jinsong Hou, Zeyi Wang, Xianlong Hong
    The Hierarchical h-Adaptive 3-D Boundary Element Computation of VLSI Interconnect Capacitance. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:93-0 [Conf]
  25. Jason Cong, David Zhigang Pan
    Interconnect Delay Estimation Models for Synthesis and Design Planning. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:97-100 [Conf]
  26. Feng Zhou, Zhijun Huang, Jiarong Tong, Pushan Tang
    An Analytical Delay Model for SRAM-Based FPGA Interconnections. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:101-104 [Conf]
  27. Shihliang Ou, Massoud Pedram
    Timing-Driven Bipartitioning with Replication Using Iterative Quadratic Programming. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:105-108 [Conf]
  28. Massoud Pedram, Chi-Ying Tsui, Qing Wu
    An Integrated Battery-Hardware Model for Portable Electronics. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:109-0 [Conf]
  29. Shinichiro Mutoh, Satoshi Shigematsu, Yoshinori Gotoh, Shinsuke Konaka
    Design Method of MTCMOS Power Switch for Low-Voltage High-Speed LSIs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:113-116 [Conf]
  30. Young-Su Kwon, Bong-Il Park, In-Cheol Park, Chong-Min Kyung
    A New Single-Clock Flip-Clop for Half-Swing Clocking. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:117-120 [Conf]
  31. Kenneth Y. Yun, Ayoob E. Dooply
    Optimal Evaluation Clocking of Self-Resetting Domino Pipelines. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:121-124 [Conf]
  32. Tomoyuki Yoda, Atsushi Takahashi, Yoji Kajitani
    Clock Period Minimization of Semi-Synchronous Circuits by Gate-Level Delay Insertion. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:125-0 [Conf]
  33. Dongsheng Wang, Ping Zhang, Chung-Kuan Cheng, Arunabha Sen
    A Performance-Driven I/O Pin Routing Algorithm. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:129-132 [Conf]
  34. Shuenn-Shi Chen, Jong-Jang Chen, Sao-Jie Chen, Chia-Chun Tsai
    An Automatic Router for the Pin Grid Array Package. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:133-136 [Conf]
  35. Tong Xiao, Malgorzata Marek-Sadowska
    Crosstalk Reduction by Transistor Sizing. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:137-140 [Conf]
  36. Wai-chee Wong, Philip C. H. Chan, Wai-on Law
    A Technology-Independent Methodology of Placement Generation for Analog Circuit. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:141-0 [Conf]
  37. Ching-Wei Yeh, Chin-Chao Chang, Jinn-Shyan Wang
    Technnology Mapping for Low Power. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:145-148 [Conf]
  38. Maolin Tang, Kamran Eshraghian, Hon Nin Cheung
    An Efficient Aopproach to Constrained Via Minimization for Two-Layer VLSI Routing. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:149-152 [Conf]
  39. Nagu R. Dhanwada, Adrián Núñez-Aldana, Ranga Vemuri
    Automatic Constraint Transformation with Integrated Parameter Space Exploration in Analog System Synthesis. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:153-156 [Conf]
  40. Hoon Choi, Hansoo Kim, In-Cheol Park, Seung Ho Hwang, Chong-Min Kyung
    Node Sampling Technique to Speed Up Probability-Based Power Estimation Methods. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:157-160 [Conf]
  41. Hidehisa Nagano, Takayuki Suyama, Akira Nagoya
    Acceleration of Linear Block Code Evaluations Using New Reconfigurable Computing Approach. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:161-164 [Conf]
  42. Mark M. Gourary, Sergey G. Rusakov, Sergey L. Ulyanov, Michael M. Zharov, Brian J. Mulvaney
    A New Numerical Method for Transient Noise Analysis of Nonlinear Circuits. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:165-168 [Conf]
  43. Rung-Bin Lin, Jinq-Chang Chen
    Low Power CMOS Off-Chip Drivers with Slew-rate Difference. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:169-172 [Conf]
  44. Rung-Bin Lin, Isaac Shuo-Hsiu Chou, Chi-Ming Tsai
    Benchmark Circuits Improve the Quality of a Standard Cell Library. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:173-176 [Conf]
  45. Takashi Takenaka, Junji Kitamichi, Teruo Higashino, Kenichi Taniguchi
    Formal Design Verification for Correctness of Pipelined Microprocessors with Out-of-order Instruction Execution. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:177-180 [Conf]
  46. Koichi Hatta, Shin'ichi Wakabayashi, Tetsushi Koide
    Solving the Rectangular Packing Problem by an Adaptive GA Based on Sequence-Pair. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:181-184 [Conf]
  47. Ren-Der Chen, Jer-Min Jou, Yeu-Horng Shiau
    Hazard-Free Synthesis and Decomposition of Asynchronous Circuits. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:185-188 [Conf]
  48. Jiann-Horng Lin, Jing-Yang Jou, Iris Hui-Ru Jiang
    Hierarchical Floorplan Design on the Internet. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:189-192 [Conf]
  49. Ryoji Sakurai, Mizuki Takahashi, Andrew Kay, Akihisa Yamada, Tetsuya Fujimoto, Takashi Kambe
    A Scheduling Method for Synchronous Communication in the Bach Hardware Compiler. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:193-0 [Conf]
  50. P. W. Cheng, H. C. Huang
    Electronics Development of Silicon Microdisplay for Virtual Reality Applications. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:197-200 [Conf]
  51. Seung-Min Lee, Jin-Hong Chung, Mike Myung-Ok Lee
    High-Speed and Low-Power Real-Time Programmable Video Multi-Processor for MPEG-2 Multimedia Chip on 0.6µm TLM CMOS Technology. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:201-204 [Conf]
  52. Jer-Min Jou, Pei-Yin Chen, Yeu-Horng Shiau, Ming-Shiang Liang
    A Scalable Pipelined Architecture for Separable 2-D Discrete Wavelet Transform. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:205-208 [Conf]
  53. Jer-Min Jou, Shiann-Rong Kuang, Yeu-Horng Shiau
    A New Pipelined Architecture for Fuzzy Color Correction. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:209-0 [Conf]
  54. Edoardo Charbon, Ilhami Torunoglu
    Watermarking Layout Topologies. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:213-216 [Conf]
  55. Youxin Gao, D. F. Wong
    Optimal Wire Shape with Consideration of Coupling Capacitance under Elmore Delay Model. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:217-220 [Conf]
  56. Andrew B. Kahng, Gabriel Robins, Anish Singh, Alexander Zelikovsky
    New Multilevel and Hierarchical Algorithms for Layout Density Control. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:221-224 [Conf]
  57. Ross Baldick, Andrew B. Kahng, Andrew A. Kennings, Igor L. Markov
    Function Smoothing with Applications to VLSI Layout. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:225-0 [Conf]
  58. Yun-Yin Lian, Youn-Long Lin
    Layout-based Logic Decomposition for Timing Optimization. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:229-232 [Conf]
  59. Chun-hong Chen, Chi-Ying Tsui
    Timing Optimization of Logic Network Using Gate Duplication. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:233-236 [Conf]
  60. Payam Rabiei, Massoud Pedram
    Model Order Reduction of Large Circuits Using Balanced Truncation. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:237-0 [Conf]
  61. Andrew B. Kahng, Paul Tucker, Alexander Zelikovsky
    Optimization of Linear Placements for Wirelength Minimization with Free Sites. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:241-244 [Conf]
  62. Haiyun Bao, Xianlong Hong, Yici Cai
    A New Global Routing Algorithm Independent Of Net Ordering. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:245-248 [Conf]
  63. Gang Huang, Xianlong Hong, Changge Qiao, Yici Cai
    A Timing-Driven Block Placer Based on Sequence Pair Model. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:249-252 [Conf]
  64. Kenneth Y. Yun
    Recent Advances in Asynchronous Design Methodologies. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:253-0 [Conf]
  65. Jack L. Chan, Steve S. Chung
    Universal Switched-Current Integrator Blocks for SI Filter Design. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:261-264 [Conf]
  66. Lee Sung-Dae, Jang Myung-Jun, Leex Won-Hyo
    An On-Chip Automatic Tuning Circuit using Integration Level Approximation. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:265-268 [Conf]
  67. Won Hyo Lee, Jun Dong Cho, Sung Dae Lee
    A High Speed and Low Power Phase-Frequency Detector and Charge - pump. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:269-272 [Conf]
  68. Jin-Kug Lee, Dong-Young Chang, Geun-Soon Kang, Seung-Hoon Lee
    A Single-Chip CMOS CCD Camera Interface Circuit with Digitally Controlled AGC. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:273-0 [Conf]
  69. Xiaowei Li, Paul Y. S. Cheung
    Data Path Synthesis for BIST with Low Area Overhead. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:275-278 [Conf]
  70. Chi-Feng Wu, Cheng-Wen Wu
    Testing Interconnects of Dynamic Reconfigurable FPGAs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:279-282 [Conf]
  71. Yinlei Yu, Jian Xu, Wei-Kang Huang, Fabrizio Lombardi
    Diagnosing Single Faults for Interconnects in SRAM Based FPGAs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:283-286 [Conf]
  72. Hafizur Rahaman, Debesh K. Das, Bhargab B. Bhattacharya
    An Adaptive BIST to Detect Multiple Stuck-Open Faults in CMOS circuits. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:287-0 [Conf]
  73. Kai Zhang, Tsuyoshi Shinogi, Haruhiko Takase, Terumine Hayashi
    A Method for Evaluating Upper Bound of Simultaneous Switching Gates Using Circuit Partition. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:291-294 [Conf]
  74. Toshio Murayama, Kimihiro Ogawa, Haruhiko Yamaguchi
    Estimation of Peak Current through CMOS VLSI Circuit Supply Lines. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:295-298 [Conf]
  75. Yibin Ye, Kaushik Roy, Rolf Drechsler
    Power Consumption in XOR-Based Circuits. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:299-302 [Conf]
  76. Rolf Drechsler, Nicole Drechsler
    Exploiting Don't Caers During Data Sequencing using Genetic Algorithms. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:303-0 [Conf]
  77. Chun-Keung Lo, Philip C. H. Chan
    An Efficient Structural Approach to Board Interconnect Diagnosis. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:307-310 [Conf]
  78. Jin Ding, Yu-Liang Wu
    On the Testing Quality of Random and Pseudo-random Sequences for Permanent and Intermittent Faults. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:311-314 [Conf]
  79. Martin Keim, Nicole Drechsler, Bernd Becker
    Combining GAs and Symbolic Methods for High Quality Tests of Sequential Circuits. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:315-318 [Conf]
  80. Junji Kitamichi, Hiroyuki Kageyama, Nobuo Funabiki
    Formal Verification Method for Combinatorial Circuits at High Level Design. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:319-0 [Conf]
  81. Wolfgang Günther, Rolf Drechsler
    Minimization of Free BDDs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:323-326 [Conf]
  82. Christoph Meinel, Klaus Schwettmann, Anna Slobodová
    Application Driven Variable Reordering and an Example Implementation in Reachability Analysis. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:327-330 [Conf]
  83. Yukihiro Iguchi, Munehiro Matsuura, Tsutomu Sasao, Atsumu Iseno
    Realization of Regular Ternary Logic Functions. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:331-0 [Conf]
  84. Nozomu Togawa, Takashi Sakurai, Masao Yanagisawa, Tatsuo Ohtsuki
    A Hardware/Software Partitioning Algorithm for Processor Cores of Digital Signal Processing. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:335-338 [Conf]
  85. Rainer Leupers, Johann Elste, Birger Landwehr
    Generation of Interpretive and Compiled Instruction Set Simulators. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:339-342 [Conf]
  86. Apostolos A. Kountouris, Christophe Wolinski
    Combining Speculative Execution and Conditional Resource Sharing to Efficiently Schedule Conditional Behaviors. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:343-346 [Conf]
  87. Marcello Lajolo, Luciano Lavagno, Alberto L. Sangiovanni-Vincentelli
    Fast Instruction Cache Simulation Strategies in a Hardware/Software Co-Design Environment. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:347-0 [Conf]
  88. Wen-Jong Fang, Peng-Cheng Kao, Allen C.-H. Wu
    A Multi-Level FPGA Synthesis Method Supporting HDL Debugging for Emulation-Based Designs. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:351-354 [Conf]
  89. Birger Landwehr
    A Genetic Algorithm based Approach for Multi-Objective Data-Flow Graph Optimization. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:355-358 [Conf]
  90. Debatosh Debnath, Tsutomu Sasao
    Fast Boolean Matching Under Permutation Using Representative. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:359-362 [Conf]
  91. Jinsong Bei, Hongxing Li, Jinian Bian, Hongxi Xue, Xianlong Hong
    FSM Modeling of Synchronous VHDL Design for Symbolic Model Checking. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 1999, pp:363-0 [Conf]
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002