The SCEAS System
Navigation Menu

Search the dblp DataBase

Title:
Author:

Jose Flich: [Publications] [Author Rank by year] [Co-authors] [Prefers] [Cites] [Cited by]

Publications of Author

  1. Jose Flich, Pedro López, Manuel P. Malumbres, José Duato
    Edinet: An Execution Driven Interconnection Network Simulator for DSM Systems. [Citation Graph (0, 0)][DBLP]
    Computer Performance Evaluation (Tools), 1998, pp:336-339 [Conf]
  2. Teresa Nachiondo Frinós, Jose Flich, José Duato, Mitchell Gusat
    Cost / Performance Trade-Offs and Fairness Evaluation of Queue Mapping Policies. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 2005, pp:1024-1034 [Conf]
  3. Pedro Javier García, Jose Flich, José Duato, Francisco J. Quiles, Ian Johnson, Finbar Naven
    On the Correct Sizing on Meshes Through an Effective Congestion Management Strategy. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 2005, pp:1035-1045 [Conf]
  4. María Engracia Gómez, Jose Flich, Antonio Robles, Pedro López, José Duato
    Evaluation of Routing Algorithms for InfiniBand Networks (Research Note). [Citation Graph (0, 0)][DBLP]
    Euro-Par, 2002, pp:775-780 [Conf]
  5. Pedro López, Jose Flich, Antonio Robles
    Low-Fragmentation Mapping Strategies for Linear Forwarding Tables in InfiniBandTM. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 2003, pp:947-957 [Conf]
  6. Alejandro Martínez, Pedro Javier García, Francisco José Alfaro, José L. Sánchez, Jose Flich, Francisco J. Quiles, José Duato
    Towards a Cost-Effective Interconnection Network Architecture with QoS and Congestion Management Support. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 2006, pp:884-895 [Conf]
  7. María Engracia Gómez, José Duato, Jose Flich, Pedro López, Antonio Robles, Nils Agne Nordbotten, Tor Skeie, Olav Lysne
    A New Adaptive Fault-Tolerant Routing Methodology for Direct Networks. [Citation Graph (0, 0)][DBLP]
    HiPC, 2004, pp:462-473 [Conf]
  8. Olav Lysne, José Miguel Montañana, Timothy Mark Pinkston, José Duato, Tor Skeie, Jose Flich
    Simple Deadlock-Free Dynamic Network Reconfiguration. [Citation Graph (0, 0)][DBLP]
    HiPC, 2004, pp:504-515 [Conf]
  9. Pedro Javier García, Jose Flich, José Duato, Ian Johnson, Francisco J. Quiles, Finbar Naven
    Dynamic Evolution of Congestion Trees: Analysis and Impact on Switch Architecture. [Citation Graph (0, 0)][DBLP]
    HiPEAC, 2005, pp:266-285 [Conf]
  10. José Duato, Ian Johnson, Jose Flich, Finbar Naven, Pedro Javier García, Teresa Nachiondo Frinós
    A New Scalable and Cost-Effective Congestion Management Strategy for Lossless Multistage Interconnection Networks. [Citation Graph (0, 0)][DBLP]
    HPCA, 2005, pp:108-119 [Conf]
  11. José Miguel Montañana, Jose Flich, Antonio Robles, José Duato
    Reachability-Based Fault-Tolerant Routing. [Citation Graph (0, 0)][DBLP]
    ICPADS (1), 2006, pp:515-524 [Conf]
  12. T. Nachiondo, Jose Flich, José Duato
    Destination-Based HoL Blocking Elimination. [Citation Graph (0, 0)][DBLP]
    ICPADS (1), 2006, pp:213-222 [Conf]
  13. Tor Skeie, Olav Lysne, Jose Flich, Pedro López, Antonio Robles, José Duato
    LASH-TOR: A Generic Transition-Oriented Routing Algorithm. [Citation Graph (0, 0)][DBLP]
    ICPADS, 2004, pp:595-604 [Conf]
  14. Jose Flich, Pedro López, Manuel P. Malumbres, José Duato
    Improving the Performance of Regular Networks with Source Routing. [Citation Graph (0, 0)][DBLP]
    ICPP, 2000, pp:353-361 [Conf]
  15. Jose Flich, Manuel P. Malumbres, Pedro López, José Duato
    Performance Evaluation of Networks of Workstations with Hardware Shared Memory Model Using Execution-Driven Simulation. [Citation Graph (0, 0)][DBLP]
    ICPP, 1999, pp:146-153 [Conf]
  16. Pedro Javier García, Francisco J. Quiles, Jose Flich, José Duato, Ian Johnson, Finbar Naven
    RECN-DD: A Memory-Efficient Congestion Management Technique for Advanced Switching. [Citation Graph (0, 0)][DBLP]
    ICPP, 2006, pp:23-32 [Conf]
  17. María Engracia Gómez, Jose Flich, Pedro López, Antonio Robles, José Duato, Nils Agne Nordbotten, Olav Lysne, Tor Skeie
    An Effective Fault-Tolerant Routing Methodology for Direct Networks. [Citation Graph (0, 0)][DBLP]
    ICPP, 2004, pp:222-231 [Conf]
  18. Pedro López, Jose Flich, José Duato
    Deadlock-Free Routing in InfiniBand through Destination Renaming. [Citation Graph (0, 0)][DBLP]
    ICPP, 2001, pp:427-436 [Conf]
  19. José Carlos Sancho, Antonio Robles, Jose Flich, P. L. López, José Duato
    Effective Methodology for Deadlock-Free Minimal Routing in InfiniBand Networks. [Citation Graph (0, 0)][DBLP]
    ICPP, 2002, pp:409-418 [Conf]
  20. José Carlos Sancho, Antonio Robles, Pedro López, Jose Flich, José Duato
    Routing in InfiniBandTM Torus Network Topologie. [Citation Graph (0, 0)][DBLP]
    ICPP, 2003, pp:509-518 [Conf]
  21. Jose Flich, Manuel P. Malumbres, Pedro López, José Duato
    Performance evaluation of a new routing strategy for irregular networks with source routing. [Citation Graph (0, 0)][DBLP]
    ICS, 2000, pp:34-43 [Conf]
  22. Salvador Coll, Jose Flich, Manuel P. Malumbres, Pedro López, José Duato, Francisco J. Mora
    A First Implementation of In-Transit Buffers on Myrinet GM Software. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2001, pp:162- [Conf]
  23. Pedro Javier García, M. D. Mora, Francisco José Alfaro, José L. Sánchez, Jose Flich
    Evaluation of Alternative Arbitration Policies for Myrinet Switches. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2002, pp:- [Conf]
  24. Jose Flich, Pedro López, Manuel P. Malumbres, José Duato, Tomas Rokicki
    Improving Network Performance by Reducing Network Contention in Source-Based COWs with a Low Path-Computation Overhead. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2001, pp:70- [Conf]
  25. Jose Flich, Manuel P. Malumbres, Pedro López, José Duato
    Improving Routing Performance in Myrinet Networks. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2000, pp:27-32 [Conf]
  26. Teresa Nachiondo Frinós, Jose Flich, José Duato
    Efficient Reduction of HOL Blocking in Multistage Networks. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2005, pp:- [Conf]
  27. María Engracia Gómez, Jose Flich, Antonio Robles, Pedro López, José Duato
    VOQSW: A Methodology to Reduce HOL Blocking in InfiniBand Networks. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2003, pp:46- [Conf]
  28. Juan Carlos Martínez, Jose Flich, Antonio Robles, Pedro López, José Duato
    Supporting Fully Adaptive Routing in InfiniBand Networks. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2003, pp:44- [Conf]
  29. Juan Carlos Martínez, Jose Flich, Antonio Robles, Pedro López, José Duato, Michihiro Koibuchi
    In-Order Packet Delivery in Interconnection Networks using Adaptive Routing. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2005, pp:- [Conf]
  30. José Miguel Montañana, Jose Flich, Antonio Robles, Pedro López, José Duato
    A Transition-Based Fault-Tolerant Routing Methodology for InfiniBand Networks. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2004, pp:- [Conf]
  31. José Carlos Sancho, Jose Flich, Antonio Robles, P. L. López, José Duato
    Analyzing the Influence of Virtual Lanes on the Performance of InfiniBand Networks. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2002, pp:- [Conf]
  32. José Carlos Sancho, Juan Carlos Martínez, Antonio Robles, Pedro López, Jose Flich, José Duato
    Performance Evaluation of COWs under Real Parallel Application. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2003, pp:202- [Conf]
  33. A. Mejia, Jose Flich, José Duato, Sven-Arne Reinemo, Tor Skeie
    Segment-based routing: an efficient fault-tolerant routing algorithm for meshes and tori. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2006, pp:- [Conf]
  34. Jose Flich, Pedro López, Manuel P. Malumbres, José Duato, Tomas Rokicki
    Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing. [Citation Graph (0, 0)][DBLP]
    ISHPC, 2000, pp:300-309 [Conf]
  35. Jose Flich, Pedro López, José Carlos Sancho, Antonio Robles, José Duato
    Improving InfiniBand Routing through Multiple Virtual Networks. [Citation Graph (0, 0)][DBLP]
    ISHPC, 2002, pp:49-63 [Conf]
  36. Nils Agne Nordbotten, María Engracia Gómez, Jose Flich, Pedro López, Antonio Robles, Tor Skeie, Olav Lysne, José Duato
    A Fully Adaptive Fault-Tolerant Routing Methodology Based on Intermediate Nodes. [Citation Graph (0, 0)][DBLP]
    NPC, 2004, pp:341-356 [Conf]
  37. José Duato, Jose Flich, Teresa Nachiondo Frinós
    A Cost-Effective Technique to Reduce HOL Blocking in Single-Stage and Multistage Switch Fabrics. [Citation Graph (0, 0)][DBLP]
    PDP, 2004, pp:48-53 [Conf]
  38. Jose Flich, Manuel P. Malumbres, Pedro López, José Duato
    Removing the Latency Overhead of the ITB Mechanism in COWs with Source Routing. [Citation Graph (0, 0)][DBLP]
    PDP, 2002, pp:463-470 [Conf]
  39. Juan Carlos Martínez, Jose Flich, Antonio Robles, Pedro López, José Duato
    Supporting Adaptive Routing in InfiniBand Networks. [Citation Graph (0, 0)][DBLP]
    PDP, 2003, pp:165-172 [Conf]
  40. Raúl Martínez, José L. Sánchez, Francisco José Alfaro, Vicente Chirivella, Jose Flich
    Studying the Effect of the Design Parameters on the Interconnection Network Performance in NOWs. [Citation Graph (0, 0)][DBLP]
    PDP, 2005, pp:102-109 [Conf]
  41. A. Mejia, Jose Flich, José Duato, Sven-Arne Reinemo, Tor Skeie
    Boosting Ethernet Performance by Segment-Based Routing. [Citation Graph (0, 0)][DBLP]
    PDP, 2007, pp:55-62 [Conf]
  42. Gaspar Mora, Jose Flich, José Duato, Pedro López, Elvira Baydal, Olav Lysne
    Towards an efficient switch architecture for high-radix switches. [Citation Graph (0, 0)][DBLP]
    ANCS, 2006, pp:11-20 [Conf]
  43. María Engracia Gómez, José Duato, Jose Flich, Pedro López, Antonio Robles, Nils Agne Nordbotten, Olav Lysne, Tor Skeie
    An Efficient Fault-Tolerant Routing Methodology for Meshes and Tori. [Citation Graph (0, 0)][DBLP]
    Computer Architecture Letters, 2004, v:3, n:, pp:- [Journal]
  44. Michihiro Koibuchi, Juan Carlos Martínez, Jose Flich, Antonio Robles, Pedro López, José Duato
    Enforcing in-order packet delivery in system area networks with adaptive routing. [Citation Graph (0, 0)][DBLP]
    J. Parallel Distrib. Comput., 2005, v:65, n:10, pp:1223-1236 [Journal]
  45. Juan Carlos Martínez, Jose Flich, Antonio Robles, Pedro López, José Duato
    Supporting adaptive routing in IBA switches. [Citation Graph (0, 0)][DBLP]
    Journal of Systems Architecture, 2003, v:49, n:10-11, pp:441-456 [Journal]
  46. Pedro Javier García, Francisco J. Quiles, Jose Flich, José Duato, Ian Johnson, Finbar Naven
    Efficient, Scalable Congestion Management for Interconnection Networks. [Citation Graph (0, 0)][DBLP]
    IEEE Micro, 2006, v:26, n:5, pp:52-66 [Journal]
  47. Jose Flich, Pedro López, Manuel P. Malumbres, José Duato, Tomas Rokicki
    Applying In-Transit Buffers to Boost the Performance of Networks with Source Routing. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Computers, 2003, v:52, n:9, pp:1134-1153 [Journal]
  48. María Engracia Gómez, Nils Agne Nordbotten, Jose Flich, Pedro López, Antonio Robles, José Duato, Tor Skeie, Olav Lysne
    A Routing Methodology for Achieving Fault Tolerance in Direct Networks. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Computers, 2006, v:55, n:4, pp:400-415 [Journal]
  49. Jose Flich, Pedro López, Manuel P. Malumbres, José Duato
    Boosting the Performance of Myrinet Networks. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Parallel Distrib. Syst., 2002, v:13, n:7, pp:693-709 [Journal]
  50. Jose Flich, Pedro López, Manuel P. Malumbres, José Duato
    Boosting the Performance of Myrinet Networks. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Parallel Distrib. Syst., 2002, v:13, n:11, pp:1166-1182 [Journal]
  51. Alejandro Martínez-Vicente, Pedro Javier García, Francisco José Alfaro, José L. Sánchez, Jose Flich, Francisco J. Quiles, José Duato
    Integrated QoS Provision and Congestion Management for Interconnection Networks. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 2007, pp:837-847 [Conf]
  52. Gaspar Mora, Pedro Javier García, Jose Flich, José Duato
    RECN-IQ: A Cost-Effective Input-Queued Switch Architecture with Congestion Management. [Citation Graph (0, 0)][DBLP]
    ICPP, 2007, pp:74- [Conf]
  53. Jose Flich, A. Mejia, Pedro López, José Duato
    Region-Based Routing: An Efficient Routing Mechanism to Tackle Unreliable Hardware in Network on Chips. [Citation Graph (0, 0)][DBLP]
    NOCS, 2007, pp:183-194 [Conf]

  54. CART: Communication-Aware Routing Technique for Application-Specific NoCs. [Citation Graph (, )][DBLP]


  55. Topic 13: High-Performance Networks. [Citation Graph (, )][DBLP]


  56. Dependability Analysis of a Fault-Tolerant Network Reconfiguring Strategy. [Citation Graph (, )][DBLP]


  57. FBICM: Efficient Congestion Management for High-Performance Networks Using Distributed Deterministic Routing. [Citation Graph (, )][DBLP]


  58. On the Potentials of Segment-Based Routing for NoCs. [Citation Graph (, )][DBLP]


  59. Epoch-based reconfiguration: Fast, simple, and effective dynamic network reconfiguration. [Citation Graph (, )][DBLP]


  60. Efficient unicast and multicast support for CMPs. [Citation Graph (, )][DBLP]


  61. High-radix crossbar switches enabled by proximity communication. [Citation Graph (, )][DBLP]


  62. Improving the Performance of GALS-Based NoCs in the Presence of Process Variation. [Citation Graph (, )][DBLP]


  63. An Efficient Implementation of Distributed Routing Algorithms for NoCs. [Citation Graph (, )][DBLP]


  64. Addressing Manufacturing Challenges with Cost-Efficient Fault Tolerant Routing. [Citation Graph (, )][DBLP]


  65. Understanding cache hierarchy interactions with a program-driven simulator. [Citation Graph (, )][DBLP]


  66. On the Potential of NoC Virtualization for Multicore Chips. [Citation Graph (, )][DBLP]


Search in 0.004secs, Finished in 0.616secs
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002