The SCEAS System
Navigation Menu

Search the dblp DataBase

Title:
Author:

Mohamed A. Elgamel: [Publications] [Author Rank by year] [Co-authors] [Prefers] [Cites] [Cited by]

Publications of Author

  1. Ahmed M. Shams, Mohamed A. Elgamel, Magdy A. Bayoumi
    Hybrid Mesh-Based/Block-Based Motion Compensation Architecture. [Citation Graph (0, 0)][DBLP]
    Workshop on Digital and Computational Video, 2001, pp:194-201 [Conf]
  2. Mohamed A. Elgamel, Sumeer Goel, Magdy A. Bayoumi
    Noise tolerant low voltage XOR-XNOR for fast arithmetic. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2003, pp:285-288 [Conf]
  3. Ramy E. Aly, Mohamed A. Elgamel, Magdy A. Bayoumi
    Dual sense amplified bit lines (DSABL) architecture for low-power SRAM design. [Citation Graph (0, 0)][DBLP]
    ISCAS (2), 2005, pp:1650-1653 [Conf]
  4. Mohamed A. Elgamel, Kannan S. Tharmalingam, Magdy A. Bayoumi
    Noise-constrained interconnect optimization for nanometer technologies. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2003, pp:481-484 [Conf]
  5. Yijun Li, Mohamed A. Elgamel, Magdy A. Bayoumi
    A partial parallel algorithm and architecture for arithmetic encoder in JPEG2000. [Citation Graph (0, 0)][DBLP]
    ISCAS (5), 2005, pp:5198-5201 [Conf]
  6. Mohamed A. Elgamel, Ahmed M. Shams, Xi Xueling, Magdy A. Bayoumi
    Enhanced low power motion estimation VLSI architectures for video compression. [Citation Graph (0, 0)][DBLP]
    ISCAS (4), 2001, pp:474-477 [Conf]
  7. Mohamed A. Elgamel, Tarek Darwish, Magdy A. Bayoumi
    Noise Tolerant Low Power Dynamic TSPCL D Flip-Flops. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2002, pp:89-94 [Conf]
  8. Mohamed A. Elgamel, Kannan S. Tharmalingam, Magdy A. Bayoumi
    Crosstalk Noise Analysis in Ultra Deep Submicrometer Technologies. [Citation Graph (0, 0)][DBLP]
    ISVLSI, 2003, pp:189-192 [Conf]
  9. Mohamed A. Elgamel, Magdy A. Bayoumi
    On Low Power High Level Synthesis Using Genetic Algorithms. [Citation Graph (0, 0)][DBLP]
    IWLS, 2002, pp:37-40 [Conf]
  10. Mohamed A. Elgamel, Magdy A. Bayoumi
    Minimum-Area Shield Insertion for Explicit Inductive Noise Reduction. [Citation Graph (0, 0)][DBLP]
    SBCCI, 2003, pp:256-260 [Conf]
  11. Sumeer Goel, Mohamed A. Elgamel, Magdy A. Bayoumi
    Novel Design Methodology for High-Performance XOR-XNOR Circuit Design. [Citation Graph (0, 0)][DBLP]
    SBCCI, 2003, pp:71-0 [Conf]
  12. Sumeer Goel, Mohamed A. Elgamel, Magdy A. Bayoumi
    Energy Efficient and Noise-Tolerant XOR-XNOR Circuit Design. [Citation Graph (0, 0)][DBLP]
    VLSI, 2003, pp:125-130 [Conf]
  13. Ashok Kumar, Magdy A. Bayoumi, Mohamed A. Elgamel
    A methodology for low power scheduling with resources operating at multiple voltages. [Citation Graph (0, 0)][DBLP]
    Integration, 2004, v:37, n:1, pp:29-62 [Journal]
  14. Mohamed A. Elgamel, Magdy A. Bayoumi, Ahmed M. Shams, Bertrand Zavidovique
    Low Power Full Search Block Matching Motion Estimation Vlsi Architectures. [Citation Graph (0, 0)][DBLP]
    Journal of Circuits, Systems, and Computers, 2004, v:13, n:6, pp:1271-1288 [Journal]
  15. Mohamed A. Elgamel, Ashok Kumar, Magdy A. Bayoumi
    Efficient shield insertion for inductive noise reduction in nanometer technologies. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2005, v:13, n:3, pp:401-405 [Journal]

  16. Fully Decentralized Weighted Kalman Filter for Wireless Sensor Networks with FuzzyART Neural Networks. [Citation Graph (, )][DBLP]


  17. EB-MAC: An Event Based Medium Access Control for Wireless Sensor Networks. [Citation Graph (, )][DBLP]


  18. Adaptive Techniques for a Fast Frequency Domain Motion Estimation. [Citation Graph (, )][DBLP]


Search in 0.003secs, Finished in 0.003secs
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002