The SCEAS System
Navigation Menu

Search the dblp DataBase

Title:
Author:

Chuan Lin: [Publications] [Author Rank by year] [Co-authors] [Prefers] [Cites] [Cited by]

Publications of Author

  1. Chuan Lin, Yanxiang He, Chao Peng, Laurence Tianruo Yang
    A Distributed Efficient Architecture for Wireless Sensor Networks. [Citation Graph (0, 0)][DBLP]
    AINA Workshops (2), 2007, pp:429-434 [Conf]
  2. Chuan Lin, Daxin Jiang, Aidong Zhang
    Prediction of Protein Function Using Common-Neighbors in Protein-Protein Interaction Networks. [Citation Graph (0, 0)][DBLP]
    BIBE, 2006, pp:251-260 [Conf]
  3. Chuan Lin, Hai Zhou
    An efficient retiming algorithm under setup and hold constraints. [Citation Graph (0, 0)][DBLP]
    DAC, 2006, pp:945-950 [Conf]
  4. Chuan Lin, Hai Zhou
    Wire Retiming for System-on-Chip by Fixpoint Computation. [Citation Graph (0, 0)][DBLP]
    DATE, 2004, pp:1092-1097 [Conf]
  5. Chuan Lin, Zhaohui Wu, ShuiGuang Deng, Li Kuang
    Automatic Service Matching and Service Discovery Based on Ontology. [Citation Graph (0, 0)][DBLP]
    GCC Workshops, 2004, pp:99-106 [Conf]
  6. Chuan Lin, Jia Wang, Hai Zhou
    Clustering for processing rate optimization. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:189-195 [Conf]
  7. Chuan Lin, Hai Zhou
    Retiming for Wire Pipelining in System-On-Chip. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2003, pp:215-220 [Conf]
  8. Chuan Lin, Hai Zhou
    Optimal wire retiming without binary search. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2004, pp:452-458 [Conf]
  9. Chuan Lin, Hai Zhou
    Trade-off between latch and flop for min-period sequential circuit designs with crosstalk. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:329-334 [Conf]
  10. Chuan Lin, Hai Zhou, Chris Chu
    A revisit to floorplan optimization by Lagrangian relaxation. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2006, pp:164-171 [Conf]
  11. Min Zhang, Chuan Lin, Shaoping Ma
    How Effective Is Query Expansion for Finding Novel Information? [Citation Graph (0, 0)][DBLP]
    IJCNLP, 2004, pp:149-157 [Conf]
  12. Chuan Lin, Yanxiang He, Naixue Xiong
    An Energy-Efficient Dynamic Power Management in Wireless Sensor Networks. [Citation Graph (0, 0)][DBLP]
    ISPDC, 2006, pp:148-154 [Conf]
  13. Min Zhang, Chuan Lin, Yiqun Liu, Leo Zhao, Shaoping Ma
    THUIR at TREC 2003: Novelty, Robust and Web. [Citation Graph (0, 0)][DBLP]
    TREC, 2003, pp:556-567 [Conf]
  14. Min Zhang, Ruihua Song, Chuan Lin, Shaoping Ma, Zhe Jiang, Yijiang Jin, Yiqun Liu, Le Zhao
    THU TREC 2002: Novelty Track Experiments. [Citation Graph (0, 0)][DBLP]
    TREC, 2002, pp:- [Conf]
  15. Chuan Lin, Yanxiang He, Naixue Xiong, Laurence Tianruo Yang
    Improved Dynamic Power Management in Wireless Sensor Networks. [Citation Graph (0, 0)][DBLP]
    UIC, 2006, pp:447-456 [Conf]
  16. ShuiGuang Deng, Zhaohui Wu, Li Kuang, Chuan Lin, Yueping Jin, Zhiwei Chen, Shifeng Yan, Ying Li
    Management of Serviceflow in a Flexible Way. [Citation Graph (0, 0)][DBLP]
    WISE, 2004, pp:428-438 [Conf]
  17. Chuan Lin, Hai Zhou
    Optimal wire retiming without binary search. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. on CAD of Integrated Circuits and Systems, 2006, v:25, n:9, pp:1577-1588 [Journal]
  18. Hai Zhou, Chuan Lin
    Retiming for wire pipelining in system-on-chip. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. on CAD of Integrated Circuits and Systems, 2004, v:23, n:9, pp:1338-1345 [Journal]
  19. Chuan Lin, Hai Zhou
    Wire retiming as fixpoint computation. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2005, v:13, n:12, pp:1340-1348 [Journal]
  20. Terence B. Hook, David Harmon, Chuan Lin
    Plasma process-induced damage on thick (6.8 nm) and thin (3.5 nm) gate oxide: parametric shifts, hot-carrier response, and dielectric integrity degradation. [Citation Graph (0, 0)][DBLP]
    Microelectronics Reliability, 2001, v:41, n:5, pp:751-765 [Journal]
  21. Chuan Lin, Aiguo Xie, Hai Zhou
    Design closure driven delay relaxation based on convex cost network flow. [Citation Graph (0, 0)][DBLP]
    DATE, 2007, pp:63-68 [Conf]
  22. Chuan Lin, Jia Wang, Hai Zhou
    Clustering for Processing Rate Optimization. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2006, v:14, n:11, pp:1264-1275 [Journal]

  23. Clock Skew Scheduling with Delay Padding for Prescribed Skew Domains. [Citation Graph (, )][DBLP]


  24. Retiming for Synchronous Data Flow Graphs. [Citation Graph (, )][DBLP]


  25. A secure model for controlling the hubs in P2P wireless network based on trust value. [Citation Graph (, )][DBLP]


Search in 0.004secs, Finished in 0.306secs
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002