The SCEAS System
Navigation Menu

Search the dblp DataBase

Title:
Author:

Amit Agarwal: [Publications] [Author Rank by year] [Co-authors] [Prefers] [Cites] [Cited by]

Publications of Author

  1. Jim Blythe, Ewa Deelman, Yolanda Gil, Carl Kesselman, Amit Agarwal, Gaurang Mehta, Karan Vahi
    The Role of Planning in Grid Computing. [Citation Graph (0, 0)][DBLP]
    ICAPS, 2003, pp:153-163 [Conf]
  2. Elad Hazan, Adam Kalai, Satyen Kale, Amit Agarwal
    Logarithmic Regret Algorithms for Online Convex Optimization. [Citation Graph (0, 0)][DBLP]
    COLT, 2006, pp:499-513 [Conf]
  3. Amit Agarwal, Chris H. Kim, Saibal Mukhopadhyay, Kaushik Roy
    Leakage in nano-scale technologies: mechanisms, impact and design considerations. [Citation Graph (0, 0)][DBLP]
    DAC, 2004, pp:6-11 [Conf]
  4. Amit Agarwal, Hai Li, Kaushik Roy
    DRG-cache: a data retention gated-ground cache for low power. [Citation Graph (0, 0)][DBLP]
    DAC, 2002, pp:473-478 [Conf]
  5. Amit Agarwal, Kaushik Roy, T. N. Vijaykumar
    Exploring High Bandwidth Pipelined Cache Architecture for Scaled Technology. [Citation Graph (0, 0)][DBLP]
    DATE, 2003, pp:10778-10783 [Conf]
  6. Amit Agarwal, Tarun Agarwal, Sumit Chopra, Anja Feldmann, Nils Kammenhuber, Piotr Krysta, Berthold Vöcking
    An Experimental Study of k-Splittable Scheduling for DNS-Based Traffic Allocation. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 2003, pp:230-235 [Conf]
  7. Amit Agarwal, Meng-Hiot Lim, Chan Yee Chew, Tong Kiang Poo, Meng Joo Er, Yew Kong Leong
    Solution to the Fixed Airbase Problem for Autonomous URAV Site Visitation Sequencing. [Citation Graph (0, 0)][DBLP]
    GECCO (2), 2004, pp:850-858 [Conf]
  8. Amit Agarwal, Meng-Hiot Lim, Maung Ye Win Kyaw, Meng Joo Er
    Inflight Rerouting for an Unmanned Aerial Vehicle. [Citation Graph (0, 0)][DBLP]
    GECCO (2), 2004, pp:859-868 [Conf]
  9. Amit Agarwal, Kunhyuk Kang, Kaushik Roy
    Accurate estimation and modeling of total chip leakage considering inter- & intra-die process variations. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2005, pp:736-741 [Conf]
  10. Patrick Ndai, Amit Agarwal, Qikai Chen, Kaushik Roy
    A Soft Error Monitor Using Switching Current Detection. [Citation Graph (0, 0)][DBLP]
    ICCD, 2005, pp:185-192 [Conf]
  11. Amit Agarwal, Elad Hazan, Satyen Kale, Robert E. Schapire
    Algorithms for portfolio management based on the Newton method. [Citation Graph (0, 0)][DBLP]
    ICML, 2006, pp:9-16 [Conf]
  12. Amit Agarwal, Bipul Chandra Paul, Kaushik Roy
    A Novel Fault Tolerant Cache to Improve Yield in Nanometer Technologies. [Citation Graph (0, 0)][DBLP]
    IOLTS, 2004, pp:149-154 [Conf]
  13. Amit Agarwal, Kunhyuk Kang, Swarup Bhunia, James D. Gallagher, Kaushik Roy
    Effectiveness of low power dual-Vt designs in nano-scale technologies under process parameter variations. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2005, pp:14-19 [Conf]
  14. Amit Agarwal, Kaushik Roy
    A noise tolerant cache design to reduce gate and sub-threshold leakage in the nanometer regime. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2003, pp:18-21 [Conf]
  15. Steven Hsu, Amit Agarwal, Kaushik Roy, Ram Krishnamurthy, Shekhar Y. Borkar
    An 8.3GHz dual supply/threshold optimized 32b integer ALU-register file loop in 90nm CMOS. [Citation Graph (0, 0)][DBLP]
    ISLPED, 2005, pp:103-106 [Conf]
  16. Elena Nabieva, Kam Jim, Amit Agarwal, Bernard Chazelle, Mona Singh
    Whole-proteome prediction of protein function via graph-theoretic analysis of interaction maps. [Citation Graph (0, 0)][DBLP]
    ISMB (Supplement of Bioinformatics), 2005, pp:302-310 [Conf]
  17. Michael D. Powell, Amit Agarwal, T. N. Vijaykumar, Babak Falsafi, Kaushik Roy
    Reducing set-associative cache energy via way-prediction and selective direct-mapping. [Citation Graph (0, 0)][DBLP]
    MICRO, 2001, pp:54-65 [Conf]
  18. Amit Agarwal, Moses Charikar, Konstantin Makarychev, Yury Makarychev
    O(sqrt(log n)) approximation algorithms for min UnCut, min 2CNF deletion, and directed cut problems. [Citation Graph (0, 0)][DBLP]
    STOC, 2005, pp:573-581 [Conf]
  19. Amit Agarwal, Meng-Hiot Lim, Meng Joo Er, Nguyen Trung Nghia
    Rectilinear workspace partitioning for parallel coverage using multiple unmanned aerial vehicles. [Citation Graph (0, 0)][DBLP]
    Advanced Robotics, 2007, v:21, n:1, pp:105-120 [Journal]
  20. Frank Harary, Meng-Hiot Lim, Amit Agarwal, Donald C. Wunsch
    Algorithms for derivation of structurally stable Hamiltonian signed graphs. [Citation Graph (0, 0)][DBLP]
    Int. J. Comput. Math., 2004, v:81, n:11, pp:1349-1356 [Journal]
  21. Bipul Chandra Paul, Amit Agarwal, Kaushik Roy
    Low-power design techniques for scaled technologies. [Citation Graph (0, 0)][DBLP]
    Integration, 2006, v:39, n:2, pp:64-89 [Journal]
  22. Amit Agarwal, Saibal Mukhopadhyay, Arijit Raychowdhury, Kaushik Roy, Chris H. Kim
    Leakage Power Analysis and Reduction for Nanoscale Circuits. [Citation Graph (0, 0)][DBLP]
    IEEE Micro, 2006, v:26, n:2, pp:68-80 [Journal]
  23. Amit Agarwal, Bipul Chandra Paul, Hamid Mahmoodi-Meimand, Animesh Datta, Kaushik Roy
    A process-tolerant cache architecture for improved yield in nanoscale technologies. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2005, v:13, n:1, pp:27-38 [Journal]
  24. Amit Agarwal, Meng-Hiot Lim, Meng Joo Er
    Proportional Partition of Holed Rectilinear Region amongst Multiple URAVs. [Citation Graph (0, 0)][DBLP]
    ICRA, 2005, pp:1779-1784 [Conf]
  25. Amit Agarwal, Noga Alon, Moses Charikar
    Improved approximation for directed cut problems. [Citation Graph (0, 0)][DBLP]
    STOC, 2007, pp:671-680 [Conf]
  26. Amit Agarwal, Kunhyuk Kang, Swarup Bhunia, James D. Gallagher, Kaushik Roy
    Device-Aware Yield-Centric Dual-Vt Design Under Parameter Variations in Nanoscale Technologies. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2007, v:15, n:6, pp:660-671 [Journal]
  27. Saibal Mukhopadhyay, Cassondra Neau, R. T. Cakici, Amit Agarwal, Chris H. Kim, Kaushik Roy
    Gate leakage reduction for scaled devices using transistor stacking. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2003, v:11, n:4, pp:716-730 [Journal]

  28. URL normalization for de-duplication of web pages. [Citation Graph (, )][DBLP]


  29. Extracting dense communities from telecom call graphs. [Citation Graph (, )][DBLP]


  30. Fault tolerant placement and defect reconfiguration for nano-FPGAs. [Citation Graph (, )][DBLP]


  31. Learning URL patterns for webpage de-duplication. [Citation Graph (, )][DBLP]


  32. Automatic Segmentation of Capillary Non-Perfusion in Retinal Angiograms. [Citation Graph (, )][DBLP]


  33. Extraction of Capillary Non-perfusion from Fundus Fluorescein Angiogram. [Citation Graph (, )][DBLP]


  34. A divide and conquer algorithm for rectilinear region coverage. [Citation Graph (, )][DBLP]


  35. Efficient Algorithms for Online Game Playing and Universal Portfolio Management. [Citation Graph (, )][DBLP]


Search in 0.003secs, Finished in 0.334secs
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002