Search the dblp DataBase
Andrew B. Kahng :
[Publications ]
[Author Rank by year ]
[Co-authors ]
[Prefers ]
[Cites ]
[Cited by ]
Publications of Author
Andrew E. Caldwell , Andrew B. Kahng , Igor L. Markov Design and Implementation of the Fiduccia-Mattheyses Heuristic for VLSI Netlist Partitioning. [Citation Graph (0, 0)][DBLP ] ALENEX, 1999, pp:177-193 [Conf ] Yu Chen , Andrew B. Kahng , Gabriel Robins , Alexander Zelikovsky Monte-Carlo algorithms for layout density control. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2000, pp:523-528 [Conf ] Yu Chen , Andrew B. Kahng , Gabriel Robins , Alexander Zelikovsky Hierarchical dummy fill for process uniformity. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2001, pp:139-144 [Conf ] Andrew E. Caldwell , Andrew B. Kahng , Igor L. Markov Improved algorithms for hypergraph bipartitioning. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2000, pp:661-666 [Conf ] Christoph Albrecht , Andrew B. Kahng , Ion I. Mandoiu , Alexander Zelikovsky Floorplan Evaluation with Timing-Driven Global Wireplanning, Pin Assignment and Buffer/Wire Sizing. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2002, pp:580-591 [Conf ] Ross Baldick , Andrew B. Kahng , Andrew A. Kennings , Igor L. Markov Function Smoothing with Applications to VLSI Layout. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 1999, pp:225-0 [Conf ] Hongyu Chen , Chung-Kuan Cheng , Andrew B. Kahng , Makoto Mori , Qinke Wang Optimal planning for mesh-based power distribution. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2004, pp:444-449 [Conf ] Chung-Kuan Cheng , Andrew B. Kahng , Bao Liu , Dirk Stroobandt Toward better wireload models in the presence of obstacles. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2001, pp:527-532 [Conf ] C. K. Cheng , Steve Lin , Andrew B. Kahng , Keh-Jeng Chang , Vijay Pitchumani , Toshiyuki Shibuya , Roberto Suaya , Zhiping Yu , Fook-Luen Heng , Don MacMillen Panel I: who is responsible for the design for manufacturability issues in the era of nano-technologies? [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2005, pp:- [Conf ] Feodor F. Dragan , Andrew B. Kahng , Ion I. Mandoiu , Sudhakar Muddu , Alexander Zelikovsky Provably good global buffering by multi-terminal multicommodity flow approximation. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2001, pp:120-125 [Conf ] Puneet Gupta , Andrew B. Kahng , Chul-Hong Park Detailed placement for improved depth of focus and CD control. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2005, pp:343-348 [Conf ] Andrew B. Kahng Design technology productivity in the DSM era (invited talk). [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2001, pp:443-448 [Conf ] Andrew B. Kahng , Sherief Reda Combinatorial group testing methods for the BIST diagnosis problem. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2004, pp:113-116 [Conf ] Andrew B. Kahng , Gabriel Robins , Anish Singh , Alexander Zelikovsky New Multilevel and Hierarchical Algorithms for Layout Density Control. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 1999, pp:221-224 [Conf ] Andrew B. Kahng , Paul Tucker , Alexander Zelikovsky Optimization of Linear Placements for Wirelength Minimization with Free Sites. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 1999, pp:241-244 [Conf ] Andrew B. Kahng , Shailesh Vaya , Alexander Zelikovsky New graph bipartizations for double-exposure, bright field alternating phase-shift mask layout. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2001, pp:133-138 [Conf ] Hidetoshi Onodera , Andrew B. Kahng , Wayne Wei-Ming Dai , Sani R. Nassif , Juho Kim , Akira Tanabe , Toshihiro Hattori Beyond the red brick wall (panel): challenges and solutions in 50nm physical design. [Citation Graph (0, 0)][DBLP ] ASP-DAC, 2001, pp:267-268 [Conf ] Charles J. Alpert , Andrew B. Kahng Geometric Embeddings for Faster and Better Multi-Way Netlist Partitioning. [Citation Graph (0, 0)][DBLP ] DAC, 1993, pp:743-748 [Conf ] Charles J. Alpert , Andrew B. Kahng Multi-Way Partitioning Via Spacefilling curves and Dynamic Programming. [Citation Graph (0, 0)][DBLP ] DAC, 1994, pp:652-657 [Conf ] Dominic A. Antonelli , Danny Z. Chen , Timothy J. Dysart , Xiaobo Sharon Hu , Andrew B. Kahng , Peter M. Kogge , Richard C. Murphy , Michael T. Niemier Quantum-Dot Cellular Automata (QCA) circuit partitioning: problem modeling and solutions. [Citation Graph (0, 0)][DBLP ] DAC, 2004, pp:363-368 [Conf ] Charles J. Alpert , Andrew B. Kahng , Cliff C. N. Sze , Qinke Wang Timing-driven Steiner trees are (practically) free. [Citation Graph (0, 0)][DBLP ] DAC, 2006, pp:389-392 [Conf ] Charles J. Alpert , Jen-Hsin Huang , Andrew B. Kahng Multilevel Circuit Partitioning. [Citation Graph (0, 0)][DBLP ] DAC, 1997, pp:530-533 [Conf ] Kenneth D. Boese , Andrew B. Kahng , Bernard A. McCoy , Gabriel Robins Rectilinear Steiner Trees with Minimum Elmore Delay. [Citation Graph (0, 0)][DBLP ] DAC, 1994, pp:381-386 [Conf ] Kenneth D. Boese , Andrew B. Kahng , Gabriel Robins High-Performance Routing Trees With Identified Critical Sinks. [Citation Graph (0, 0)][DBLP ] DAC, 1993, pp:182-187 [Conf ] Andrew E. Caldwell , Yu Cao , Andrew B. Kahng , Farinaz Koushanfar , Hua Lu , Igor L. Markov , Michael Oliver , Dirk Stroobandt , Dennis Sylvester GTX: the MARCO GSRC technology extrapolation system. [Citation Graph (0, 0)][DBLP ] DAC, 2000, pp:693-698 [Conf ] Andrew E. Caldwell , Hyun-Jin Choi , Andrew B. Kahng , Stefanus Mantik , Miodrag Potkonjak , Gang Qu , Jennifer L. Wong Effective Iterative Techniques for Fingerprinting Design IP. [Citation Graph (0, 0)][DBLP ] DAC, 1999, pp:843-848 [Conf ] Andrew E. Caldwell , Andrew B. Kahng , Andrew A. Kennings , Igor L. Markov Hypergraph Partitioning for VLSI CAD: Methodology for Heuristic Development, Experimentation and Reporting. [Citation Graph (0, 0)][DBLP ] DAC, 1999, pp:349-354 [Conf ] Andrew E. Caldwell , Andrew B. Kahng , Igor L. Markov Can recursive bisection alone produce routable placements? [Citation Graph (0, 0)][DBLP ] DAC, 2000, pp:477-482 [Conf ] Andrew E. Caldwell , Andrew B. Kahng , Igor L. Markov Hypergraph Partitioning with Fixed Vertices. [Citation Graph (0, 0)][DBLP ] DAC, 1999, pp:355-359 [Conf ] Luigi Capodieci , Puneet Gupta , Andrew B. Kahng , Dennis Sylvester , Jie Yang Toward a methodology for manufacturability-driven design rule exploration. [Citation Graph (0, 0)][DBLP ] DAC, 2004, pp:311-316 [Conf ] Hongyu Chen , Chung-Kuan Cheng , Nan-Chi Chou , Andrew B. Kahng , John F. MacDonald , Peter Suaris , Bo Yao , Zhengyong Zhu An algebraic multigrid solver for analytical placement with layout based clustering. [Citation Graph (0, 0)][DBLP ] DAC, 2003, pp:794-799 [Conf ] Yu Chen , Puneet Gupta , Andrew B. Kahng Performance-impact limited area fill synthesis. [Citation Graph (0, 0)][DBLP ] DAC, 2003, pp:22-27 [Conf ] Yu Chen , Andrew B. Kahng , Gabriel Robins , Alexander Zelikovsky Practical iterated fill synthesis for CMP uniformity. [Citation Graph (0, 0)][DBLP ] DAC, 2000, pp:671-674 [Conf ] Yongseok Cheon , Pei-Hsin Ho , Andrew B. Kahng , Sherief Reda , Qinke Wang Power-aware placement. [Citation Graph (0, 0)][DBLP ] DAC, 2005, pp:795-800 [Conf ] Jason Cong , Lars W. Hagen , Andrew B. Kahng Net Partitions Yield Better Module Partitions. [Citation Graph (0, 0)][DBLP ] DAC, 1992, pp:47-52 [Conf ] Jason Cong , Lei He , Andrew B. Kahng , David Noice , Nagesh Shirali , Steve H.-C. Yen Analysis and Justification of a Simple, Practical 2 1/2-D Capacitance Extraction Methodology. [Citation Graph (0, 0)][DBLP ] DAC, 1997, pp:627-632 [Conf ] Stephen Fenstermaker , David George , Andrew B. Kahng , Stefanus Mantik , Bart Thielges METRICS: a system architecture for design process optimization. [Citation Graph (0, 0)][DBLP ] DAC, 2000, pp:705-710 [Conf ] Puneet Gupta , Andrew B. Kahng , Youngmin Kim , Dennis Sylvester Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions. [Citation Graph (0, 0)][DBLP ] DAC, 2005, pp:365-368 [Conf ] Puneet Gupta , Andrew B. Kahng , Puneet Sharma , Dennis Sylvester Selective gate-length biasing for cost-effective runtime leakage control. [Citation Graph (0, 0)][DBLP ] DAC, 2004, pp:327-330 [Conf ] Puneet Gupta , Andrew B. Kahng , Dennis Sylvester , Jie Yang A cost-driven lithographic correction methodology based on off-the-shelf sizing tools. [Citation Graph (0, 0)][DBLP ] DAC, 2003, pp:16-21 [Conf ] Lars W. Hagen , Dennis J.-H. Huang , Andrew B. Kahng Quantified Suboptimality of VLSI Layout Heuristics. [Citation Graph (0, 0)][DBLP ] DAC, 1995, pp:216-221 [Conf ] Dennis J.-H. Huang , Andrew B. Kahng , Chung-Wen Albert Tsao On the Bounded-Skew Clock and Steiner Routing Problems. [Citation Graph (0, 0)][DBLP ] DAC, 1995, pp:508-513 [Conf ] Andrew B. Kahng CAD challenges for leading-edge multimedia designs. [Citation Graph (0, 0)][DBLP ] DAC, 2006, pp:372- [Conf ] Andrew B. Kahng Fast Hypergraph Partition. [Citation Graph (0, 0)][DBLP ] DAC, 1989, pp:762-766 [Conf ] Andrew B. Kahng , Shekhar Borkar , John Cohn , Antun Domic , Patrick Groeneveld , Louis Scheffer , Jean-Pierre Schoellkopf Nanometer design: place your bets. [Citation Graph (0, 0)][DBLP ] DAC, 2003, pp:546-547 [Conf ] Andrew B. Kahng , Ronald Collett , Patrick Groeneveld , Lavi Lev , Nancy Nettleton , Paul K. Rodman , Lambert van den Hoven Tools or users: which is the bigger bottleneck? [Citation Graph (0, 0)][DBLP ] DAC, 2002, pp:76-77 [Conf ] Andrew B. Kahng , Jason Cong , Gabriel Robins High-Performance Clock Routing Based on Recursive Geometric Aatching. [Citation Graph (0, 0)][DBLP ] DAC, 1991, pp:322-327 [Conf ] Andrew B. Kahng , John Lach , William H. Mangione-Smith , Stefanus Mantik , Igor L. Markov , Miodrag Potkonjak , Paul Tucker , Huijuan Wang , Gregory Wolfe Watermarking Techniques for Intellectual Property Protection. [Citation Graph (0, 0)][DBLP ] DAC, 1998, pp:776-781 [Conf ] Andrew B. Kahng , Sudhakar Muddu Delay Analysis of VLSI Interconnections Using the Diffusion Equation Model. [Citation Graph (0, 0)][DBLP ] DAC, 1994, pp:563-569 [Conf ] Andrew B. Kahng , Sudhakar Muddu Analysis of RC Interconnections Under Ramp Input. [Citation Graph (0, 0)][DBLP ] DAC, 1996, pp:533-538 [Conf ] Andrew B. Kahng , Stefanus Mantik , Igor L. Markov , Miodrag Potkonjak , Paul Tucker , Huijuan Wang , Gregory Wolfe Robust IP Watermarking Methodologies for Physical Design. [Citation Graph (0, 0)][DBLP ] DAC, 1998, pp:782-787 [Conf ] Andrew B. Kahng , Sudhakar Muddu , Egino Sarto On switch factor based analysis of coupled RC interconnects. [Citation Graph (0, 0)][DBLP ] DAC, 2000, pp:79-84 [Conf ] Andrew B. Kahng , Y. C. Pati Subwavelength Lithography and Its Potential Impact on Design and EDA. [Citation Graph (0, 0)][DBLP ] DAC, 1999, pp:799-804 [Conf ] Andrew B. Kahng , Y. C. Pati , Warren Grobman , Robert Pack , Lance A. Glasser Subwavelength Lithography: How Will It Affect Your Design Flow? (Panel). [Citation Graph (0, 0)][DBLP ] DAC, 1999, pp:798- [Conf ] Andrew B. Kahng , Sherief Reda Placement feedback: a concept and method for better min-cut placements. [Citation Graph (0, 0)][DBLP ] DAC, 2004, pp:357-362 [Conf ] Andrew B. Kahng , Bing J. Sheu , Nancy Nettleton , John M. Cohn , Shekhar Borkar , Louis Scheffer , Ed Cheng , Sang Wang Panel: Is Nanometer Design Under Control? [Citation Graph (0, 0)][DBLP ] DAC, 2001, pp:591-592 [Conf ] Andrew B. Kahng , Chung-Wen Albert Tsao More Practical Bounded-Skew Clock Routing. [Citation Graph (0, 0)][DBLP ] DAC, 1997, pp:594-599 [Conf ] Saumil Shah , Puneet Gupta , Andrew B. Kahng Standard cell library optimization for leakage reduction. [Citation Graph (0, 0)][DBLP ] DAC, 2006, pp:983-986 [Conf ] Jennifer Smith , Tom Quan , Andrew B. Kahng EDA meets.COM (panel session): how E-services will change the EDA business model. [Citation Graph (0, 0)][DBLP ] DAC, 2000, pp:253- [Conf ] Charles Chiang , Andrew B. Kahng , Subarna Sinha , Xu Xu , Alexander Zelikovsky Bright-Field AAPSM Conflict Detection and Correction. [Citation Graph (0, 0)][DBLP ] DATE, 2005, pp:908-913 [Conf ] Yu Chen , Andrew B. Kahng , Gabriel Robins , Alexander Zelikovsky , Yuhong Zheng Area Fill Generation With Inherent Data Volume Reduction. [Citation Graph (0, 0)][DBLP ] DATE, 2003, pp:10868-10875 [Conf ] Parthasarathi Dasgupta , Andrew B. Kahng , Swamy Muddu A Novel Metric for Interconnect Architecture Performance. [Citation Graph (0, 0)][DBLP ] DATE, 2003, pp:10448-10455 [Conf ] Andrew B. Kahng , Igor L. Markov , Sherief Reda Boosting: Min-Cut Placement with Improved Signal Delay. [Citation Graph (0, 0)][DBLP ] DATE, 2004, pp:1098-1103 [Conf ] Andrew B. Kahng , Sudhakar Muddu , Egino Sarto , Rahul Sharma Interconnect Tuning Strategies for High-Performance Ics. [Citation Graph (0, 0)][DBLP ] DATE, 1998, pp:471-478 [Conf ] Andrew B. Kahng , Chul-Hong Park , Puneet Sharma , Qinke Wang Lens aberration aware timing-driven placement. [Citation Graph (0, 0)][DBLP ] DATE, 2006, pp:890-895 [Conf ] Dennis J.-H. Huang , Andrew B. Kahng Multi-way System Partitioning into a Single Type or Multiple Types of FPGAs. [Citation Graph (0, 0)][DBLP ] FPGA, 1995, pp:140-145 [Conf ] Andrew B. Kahng , Bao Liu , Xu Xu Statistical gate delay calculation with crosstalk alignment consideration. [Citation Graph (0, 0)][DBLP ] ACM Great Lakes Symposium on VLSI, 2006, pp:223-228 [Conf ] Andrew B. Kahng , Igor L. Markov , Sherief Reda On legalization of row-based placements. [Citation Graph (0, 0)][DBLP ] ACM Great Lakes Symposium on VLSI, 2004, pp:214-219 [Conf ] Charles J. Alpert , Andrew B. Kahng A general framework for vertex orderings, with applications to netlist clustering. [Citation Graph (0, 0)][DBLP ] ICCAD, 1994, pp:63-67 [Conf ] Charles J. Alpert , Andrew B. Kahng , Bao Liu , Ion I. Mandoiu , Alexander Zelikovsky Minimum-Buffered Routing of Non-Critical Nets for Slew Rate and Reliability Control. [Citation Graph (0, 0)][DBLP ] ICCAD, 2001, pp:408-0 [Conf ] Yu Cao , Chenming Hu , Xuejue Huang , Andrew B. Kahng , Sudhakar Muddu , Dirk Stroobandt , Dennis Sylvester Effects of Global Interconnect Optimizations on Performance Estimation of Deep Submicron Design. [Citation Graph (0, 0)][DBLP ] ICCAD, 2000, pp:56-61 [Conf ] Hongyu Chen , Chung-Kuan Cheng , Andrew B. Kahng , Ion I. Mandoiu , Qinke Wang , Bo Yao The Y-Architecture for On-Chip Interconnect: Analysis and Methodology. [Citation Graph (0, 0)][DBLP ] ICCAD, 2003, pp:13-20 [Conf ] Yu Chen , Andrew B. Kahng , Gang Qu , Alexander Zelikovsky The associative-skew clock routing problem. [Citation Graph (0, 0)][DBLP ] ICCAD, 1999, pp:168-172 [Conf ] Charles Chiang , Andrew B. Kahng , Subarna Sinha , Xu Xu Fast and efficient phase conflict detection and correction in standard-cell layouts. [Citation Graph (0, 0)][DBLP ] ICCAD, 2005, pp:149-156 [Conf ] Jason Cong , Andrew B. Kahng , Cheng-Kok Koh , Chung-Wen Albert Tsao Bounded-skew clock and Steiner routing under Elmore delay. [Citation Graph (0, 0)][DBLP ] ICCAD, 1995, pp:66-71 [Conf ] Feodor F. Dragan , Andrew B. Kahng , Ion I. Mandoiu , Sudhakar Muddu , Alexander Zelikovsky Provably Good Global Buffering Using an Available Buffer Block Plan. [Citation Graph (0, 0)][DBLP ] ICCAD, 2000, pp:104-109 [Conf ] Puneet Gupta , Andrew B. Kahng Manufacturing-Aware Physical Design. [Citation Graph (0, 0)][DBLP ] ICCAD, 2003, pp:681-688 [Conf ] Puneet Gupta , Andrew B. Kahng , Ion I. Mandoiu , Puneet Sharma Layout-Aware Scan Chain Synthesis for Improved Path Delay Fault Coverage. [Citation Graph (0, 0)][DBLP ] ICCAD, 2003, pp:754-759 [Conf ] Lars W. Hagen , Andrew B. Kahng Fast Spectral Methods for Ratio Cut Partitioning and Clustering. [Citation Graph (0, 0)][DBLP ] ICCAD, 1991, pp:10-13 [Conf ] Lars W. Hagen , Andrew B. Kahng A new approach to effective circuit clustering. [Citation Graph (0, 0)][DBLP ] ICCAD, 1992, pp:422-427 [Conf ] Andrew B. Kahng , Darko Kirovski , Stefanus Mantik , Miodrag Potkonjak , Jennifer L. Wong Copy detection for intellectual property protection of VLSI designs. [Citation Graph (0, 0)][DBLP ] ICCAD, 1999, pp:600-605 [Conf ] Andrew B. Kahng , Bao Liu , Ion I. Mandoiu Non-tree routing for reliability and yield improvement. [Citation Graph (0, 0)][DBLP ] ICCAD, 2002, pp:260-266 [Conf ] Andrew B. Kahng , Stefanus Mantik On Mismatches between Incremental Optimizers and Instance Perturbations in Physical Design Tools. [Citation Graph (0, 0)][DBLP ] ICCAD, 2000, pp:17-21 [Conf ] Andrew B. Kahng , Kei Masuko , Sudhakar Muddu Analytical delay models for VLSI interconnects under ramp input. [Citation Graph (0, 0)][DBLP ] ICCAD, 1996, pp:30-36 [Conf ] Andrew B. Kahng , Ion I. Mandoiu , Sherief Reda , Xu Xu , Alexander Zelikovsky Evaluation of Placement Techniques for DNA Probe Array Layout. [Citation Graph (0, 0)][DBLP ] ICCAD, 2003, pp:262-269 [Conf ] Andrew B. Kahng , Sherief Reda Intrinsic shortest path length: a new, accurate a priori wirelength estimator. [Citation Graph (0, 0)][DBLP ] ICCAD, 2005, pp:173-180 [Conf ] Andrew B. Kahng , Gabriel Robins A New Class of Steiner Trees Heuristics with Good Performance: The Iterated 1-Steiner-Approach. [Citation Graph (0, 0)][DBLP ] ICCAD, 1990, pp:428-431 [Conf ] Andrew B. Kahng , Sherief Reda , Qinke Wang Architecture and details of a high quality, large-scale analytical placer. [Citation Graph (0, 0)][DBLP ] ICCAD, 2005, pp:891-898 [Conf ] Andrew B. Kahng , Chung-Wen Albert Tsao Low-cost single-layer clock trees with exact zero Elmore delay skew. [Citation Graph (0, 0)][DBLP ] ICCAD, 1994, pp:213-218 [Conf ] Andrew B. Kahng , Qinke Wang An analytic placer for mixed-size placement and timing-driven placement. [Citation Graph (0, 0)][DBLP ] ICCAD, 2004, pp:565-572 [Conf ] Andrew B. Kahng , Puneet Sharma , Alexander Zelikovsky Fill for shallow trench isolation CMP. [Citation Graph (0, 0)][DBLP ] ICCAD, 2006, pp:661-668 [Conf ] Kenneth D. Boese , Andrew B. Kahng , Bernard A. McCoy , Gabriel Robins Fidelity and Near-Optimality of Elmore-Based Routing Constructions. [Citation Graph (0, 0)][DBLP ] ICCD, 1993, pp:81-84 [Conf ] Jason Cong , Yuzheng Ding , Andrew B. Kahng , Peter Trajmar , Kuang-Chien Chen An Improved Graph-Based FPGA Techology Mapping Algorithm For Delay Optimization. [Citation Graph (0, 0)][DBLP ] ICCD, 1992, pp:154-158 [Conf ] Jason Cong , Andrew B. Kahng , Gabriel Robins , Majid Sarrafzadeh , C. K. Wong Performance-Driven Global Routing for Cell Based ICs. [Citation Graph (0, 0)][DBLP ] ICCD, 1991, pp:170-173 [Conf ] Andrew B. Kahng An Effective Analog Approach to Steiner Routing. [Citation Graph (0, 0)][DBLP ] ICCD, 1991, pp:166-169 [Conf ] Andrew B. Kahng , Bao Liu , Qinke Wang Supply Voltage Degradation Aware Analytical Placement. [Citation Graph (0, 0)][DBLP ] ICCD, 2005, pp:437-443 [Conf ] Andrew B. Kahng , Ion I. Mandoiu , Sherief Reda , Xu Xu , Alexander Zelikovsky Design Flow Enhancements for DNA Arrays. [Citation Graph (0, 0)][DBLP ] ICCD, 2003, pp:116-0 [Conf ] Andrew B. Kahng , Byung Ro Moon Toward More Powerful Recombinations. [Citation Graph (0, 0)][DBLP ] ICGA, 1995, pp:96-103 [Conf ] C. Bandela , Yu Chen , Andrew B. Kahng , Ion I. Mandoiu , Alexander Zelikovsky Auctions with Buyer Preferences. [Citation Graph (0, 0)][DBLP ] Information Systems: The e-Business Challenge, 2002, pp:223-238 [Conf ] Charles J. Alpert , Jason Cong , Andrew B. Kahng , Gabriel Robins , Majid Sarrafzadeh Minimum Density Interconneciton Trees. [Citation Graph (0, 0)][DBLP ] ISCAS, 1993, pp:1865-1868 [Conf ] Charles J. Alpert , T. C. Hu , Jen-Hsin Huang , Andrew B. Kahng A Direct Combination of the Prim and Dijkstra Constructions for Improved Performance-driven Global Routing. [Citation Graph (0, 0)][DBLP ] ISCAS, 1993, pp:1869-1872 [Conf ] Kenneth D. Boese , Andrew B. Kahng Simulated annealing of neural networks: The 'cooling' strategy reconsidered. [Citation Graph (0, 0)][DBLP ] ISCAS, 1993, pp:2572-2575 [Conf ] Andrew B. Kahng , Swamy Muddu , Puneet Sharma Defocus-aware leakage estimation and control. [Citation Graph (0, 0)][DBLP ] ISLPED, 2005, pp:263-268 [Conf ] Andrew B. Kahng A roadmap and vision for physical design. [Citation Graph (0, 0)][DBLP ] ISPD, 2002, pp:112-117 [Conf ] Andrew B. Kahng Research directions for coevolution of rules and routers. [Citation Graph (0, 0)][DBLP ] ISPD, 2003, pp:122-125 [Conf ] Andrew B. Kahng Futures for partitioning in physical design (tutorial). [Citation Graph (0, 0)][DBLP ] ISPD, 1998, pp:190-193 [Conf ] Andrew B. Kahng , Bao Liu , Sheldon X.-D. Tan Efficient decoupling capacitor planning via convex programming methods. [Citation Graph (0, 0)][DBLP ] ISPD, 2006, pp:102-107 [Conf ] Charles J. Alpert , Tony F. Chan , Dennis J.-H. Huang , Andrew B. Kahng , Igor L. Markov , Pep Mulet , Kenneth Yan Faster minimization of linear wirelength for global placement. [Citation Graph (0, 0)][DBLP ] ISPD, 1997, pp:4-11 [Conf ] Charles J. Alpert , Andrew E. Caldwell , Andrew B. Kahng , Igor L. Markov Partitioning with terminals: a "new" problem and new benchmarks. [Citation Graph (0, 0)][DBLP ] ISPD, 1999, pp:151-157 [Conf ] Charles J. Alpert , Milos Hrkic , Jiang Hu , Andrew B. Kahng , John Lillis , Bao Liu , Stephen T. Quay , Sachin S. Sapatnekar , A. J. Sullivan , Paul Villarrubia Buffered Steiner trees for difficult instances. [Citation Graph (0, 0)][DBLP ] ISPD, 2001, pp:4-9 [Conf ] Charles J. Alpert , Andrew B. Kahng , Gi-Joon Nam , Sherief Reda , Paul Villarrubia A semi-persistent clustering technique for VLSI circuit placement. [Citation Graph (0, 0)][DBLP ] ISPD, 2005, pp:200-207 [Conf ] Piotr Berman , Andrew B. Kahng , Devendra Vidhani , Huijuan Wang , Alexander Zelikovsky Optimal phase conflict removal for layout of dark field alternating phase shifting masks. [Citation Graph (0, 0)][DBLP ] ISPD, 1999, pp:121-126 [Conf ] Andrew E. Caldwell , Andrew B. Kahng , Igor L. Markov Optimal partitioners and end-case placers for standard-cell layout. [Citation Graph (0, 0)][DBLP ] ISPD, 1999, pp:90-96 [Conf ] Jason Cong , Andrew B. Kahng , Kwok-Shing Leung Efficient heuristics for the minimum shortest path Steiner arborescence problem with applications to VLSI physical design. [Citation Graph (0, 0)][DBLP ] ISPD, 1997, pp:88-95 [Conf ] Andrew E. Caldwell , Andrew B. Kahng , Stefanus Mantik , Igor L. Markov , Alexander Zelikovsky On wirelength estimations for row-based placement. [Citation Graph (0, 0)][DBLP ] ISPD, 1998, pp:4-11 [Conf ] Lei He , Andrew B. Kahng , King Ho Tam , Jinjun Xiong Simultaneous buffer insertion and wire sizing considering systematic CMP variation and random leff variation. [Citation Graph (0, 0)][DBLP ] ISPD, 2005, pp:78-85 [Conf ] Yu Chen , Andrew B. Kahng , Gabriel Robins , Alexander Zelikovsky Closing the smoothness and uniformity gap in area fill synthesis. [Citation Graph (0, 0)][DBLP ] ISPD, 2002, pp:137-142 [Conf ] Andrew B. Kahng , Sudhakar Muddu New efficient algorithms for computing effective capacitance. [Citation Graph (0, 0)][DBLP ] ISPD, 1998, pp:147-151 [Conf ] Dennis J.-H. Huang , Andrew B. Kahng Partitioning-based standard-cell global placement with an exact objective. [Citation Graph (0, 0)][DBLP ] ISPD, 1997, pp:18-25 [Conf ] Andrew B. Kahng Classical floorplanning harmful? [Citation Graph (0, 0)][DBLP ] ISPD, 2000, pp:207-213 [Conf ] Andrew B. Kahng , Stefanus Mantik , Igor L. Markov Min-max placement for large-scale timing optimization. [Citation Graph (0, 0)][DBLP ] ISPD, 2002, pp:143-148 [Conf ] Andrew B. Kahng , Stefanus Mantik , Dirk Stroobandt Requirements for models of achievable routing. [Citation Graph (0, 0)][DBLP ] ISPD, 2000, pp:4-11 [Conf ] Andrew B. Kahng , Ion I. Mandoiu , Qinke Wang , Xu Xu , Alexander Zelikovsky Multi-project reticle floorplanning and wafer dicing. [Citation Graph (0, 0)][DBLP ] ISPD, 2004, pp:70-77 [Conf ] Andrew B. Kahng , Y. C. Pati Subwavelength optical lithography: challenges and impact on physical design. [Citation Graph (0, 0)][DBLP ] ISPD, 1999, pp:112-119 [Conf ] Andrew B. Kahng , Sherief Reda Evaluation of placer suboptimality via zero-change netlist transformations. [Citation Graph (0, 0)][DBLP ] ISPD, 2005, pp:208-215 [Conf ] Andrew B. Kahng , Gabriel Robins , Anish Singh , Huijuan Wang , Alexander Zelikovsky Filling and slotting: analysis and algorithms. [Citation Graph (0, 0)][DBLP ] ISPD, 1998, pp:95-102 [Conf ] Andrew B. Kahng , Sherief Reda , Qinke Wang APlace: a general analytic placement framework. [Citation Graph (0, 0)][DBLP ] ISPD, 2005, pp:233-235 [Conf ] Andrew B. Kahng , Qinke Wang Implementation and extensibility of an analytic placer. [Citation Graph (0, 0)][DBLP ] ISPD, 2004, pp:18-25 [Conf ] Andrew B. Kahng , Qinke Wang A faster implementation of APlace. [Citation Graph (0, 0)][DBLP ] ISPD, 2006, pp:218-220 [Conf ] Andrew B. Kahng , Xu Xu Local unidirectional bias for smooth cutsize-delay tradeoff in performance-driven bipartitioning. [Citation Graph (0, 0)][DBLP ] ISPD, 2003, pp:81-86 [Conf ] Puneet Gupta , Andrew B. Kahng Quantifying Error in Dynamic Power Estimation of CMOS Circuits. [Citation Graph (0, 0)][DBLP ] ISQED, 2003, pp:273-278 [Conf ] Puneet Gupta , Andrew B. Kahng , Stefanus Mantik A Proposal for Routing-Based Timing-Driven Scan Chain Ordering. [Citation Graph (0, 0)][DBLP ] ISQED, 2003, pp:339-343 [Conf ] Puneet Gupta , Andrew B. Kahng , Puneet Sharma A Practical Transistor-Level Dual Threshold Voltage Assignment Methodology. [Citation Graph (0, 0)][DBLP ] ISQED, 2005, pp:421-426 [Conf ] Puneet Gupta , Andrew B. Kahng , Dennis Sylvester , Jie Yang Performance Driven OPC for Mask Cost Reduction. [Citation Graph (0, 0)][DBLP ] ISQED, 2005, pp:270-275 [Conf ] Andrew B. Kahng Manufacturability . [Citation Graph (0, 0)][DBLP ] ISQED, 2004, pp:8- [Conf ] Andrew B. Kahng , Ronald Collett , Ton. H. van de Kraats Design Metrics to Achieve Design Quality. [Citation Graph (0, 0)][DBLP ] ISQED, 2001, pp:9- [Conf ] Andrew B. Kahng , Bao Liu , Sheldon X.-D. Tan SMM: Scalable Analysis of Power Delivery Networks by Stochastic Moment Matching. [Citation Graph (0, 0)][DBLP ] ISQED, 2006, pp:638-643 [Conf ] Andrew B. Kahng , Bao Liu , Xu Xu Constructing Current-Based Gate Models Based on Existing Timing Library. [Citation Graph (0, 0)][DBLP ] ISQED, 2006, pp:37-42 [Conf ] Andrew B. Kahng , Stefanus Mantik A System for Automatic Recording and Prediction of Design Quality Metrics. [Citation Graph (0, 0)][DBLP ] ISQED, 2001, pp:81-86 [Conf ] Andrew B. Kahng , Stefanus Mantik Measurement of Inherent Noise in EDA Tools. [Citation Graph (0, 0)][DBLP ] ISQED, 2002, pp:206-212 [Conf ] Andrew B. Kahng , Igor L. Markov Impact of Interoperability on CAD-IP Reuse: An Academic Viewpoint. [Citation Graph (0, 0)][DBLP ] ISQED, 2003, pp:208-213 [Conf ] Andrew B. Kahng , Sudhakar Muddu , Niranjan Pol , Devendra Vidhani Noise Model for Multiple Segmented Coupled RC Interconnects. [Citation Graph (0, 0)][DBLP ] ISQED, 2001, pp:145-150 [Conf ] Andrew B. Kahng , Swamy Muddu , Puneet Sharma Impact of Gate-Length Biasing on Threshold-Voltage Selection. [Citation Graph (0, 0)][DBLP ] ISQED, 2006, pp:747-754 [Conf ] Andrew B. Kahng , Gary Smith A New Design Cost Model for the 2001 ITRS (invited). [Citation Graph (0, 0)][DBLP ] ISQED, 2002, pp:190-193 [Conf ] Andrew B. Kahng , Kambiz Samadi , Puneet Sharma Study of Floating Fill Impact on Interconnect Capacitance. [Citation Graph (0, 0)][DBLP ] ISQED, 2006, pp:691-696 [Conf ] Andrew B. Kahng , Sherief Reda , Puneet Sharma On-Line Adjustable Buffering for Runtime Power Reduction. [Citation Graph (0, 0)][DBLP ] ISQED, 2007, pp:550-555 [Conf ] Andrew B. Kahng , Rasit Onur Topaloglu A DOE Set for Normalization-Based Extraction of Fill Impact on Capacitances. [Citation Graph (0, 0)][DBLP ] ISQED, 2007, pp:467-474 [Conf ] Andrew B. Kahng , Bao Liu Q-Tree: A New Iterative Improvement Approach for Buffered Interconnect Optimization. [Citation Graph (0, 0)][DBLP ] ISVLSI, 2003, pp:183-188 [Conf ] Andrew B. Kahng , Ion I. Mandoiu , Pavel A. Pevzner , Sherief Reda , Alexander Zelikovsky Engineering a scalable placement heuristic for DNA probe arrays. [Citation Graph (0, 0)][DBLP ] RECOMB, 2003, pp:148-156 [Conf ] Marcelo O. Johann , Andrew E. Caldwell , Ricardo Augusto da Luz Reis , Andrew B. Kahng Admissibility Proofs for the LCS* Algorithm. [Citation Graph (0, 0)][DBLP ] IBERAMIA-SBIA, 2000, pp:236-244 [Conf ] Kenneth D. Boese , Andrew B. Kahng , Stefanus Mantik On the relevance of wire load models. [Citation Graph (0, 0)][DBLP ] SLIP, 2001, pp:91-98 [Conf ] Hongyu Chen , Chung-Kuan Cheng , Andrew B. Kahng , Ion I. Mandoiu , Qinke Wang Estimation of wirelength reduction for lambda-geometry vs. manhattan placement and routing. [Citation Graph (0, 0)][DBLP ] SLIP, 2003, pp:71-76 [Conf ] Chung-Kuan Cheng , Andrew B. Kahng , Bao Liu Interconnect implications of growth-based structural models for VLSI circuits. [Citation Graph (0, 0)][DBLP ] SLIP, 2001, pp:99-106 [Conf ] Puneet Gupta , Andrew B. Kahng , Youngmin Kim , Dennis Sylvester Investigation of performance metrics for interconnect stack architectures. [Citation Graph (0, 0)][DBLP ] SLIP, 2004, pp:23-29 [Conf ] Andrew B. Kahng , Bao Liu , Xu Xu Statistical crosstalk aggressor alignment aware interconnect delay calculation. [Citation Graph (0, 0)][DBLP ] SLIP, 2006, pp:91-97 [Conf ] Andrew B. Kahng , Sherief Reda A tale of two nets: studies of wirelength progression in physical design. [Citation Graph (0, 0)][DBLP ] SLIP, 2006, pp:17-24 [Conf ] Andrew B. Kahng , Dirk Stroobandt Wiring layer assignments with consistent stage delays. [Citation Graph (0, 0)][DBLP ] SLIP, 2000, pp:115-122 [Conf ] Andrew B. Kahng , Rasit Onur Topaloglu Generation of design guarantees for interconnect matching. [Citation Graph (0, 0)][DBLP ] SLIP, 2006, pp:29-34 [Conf ] Andrew B. Kahng , Xu Xu Accurate pseudo-constructive wirelength and congestion estimation. [Citation Graph (0, 0)][DBLP ] SLIP, 2003, pp:61-68 [Conf ] Christoph Albrecht , Andrew B. Kahng , Ion I. Mandoiu , Alexander Zelikovsky Floorplan Evaluation with Timing-Driven Global Wireplanning, Pin Assignment and Buffer/Wire Sizing. [Citation Graph (0, 0)][DBLP ] VLSI Design, 2002, pp:580-0 [Conf ] Puneet Gupta , Andrew B. Kahng Wire Swizzling to Reduce Delay Uncertainty Due to Capacitive Coupling. [Citation Graph (0, 0)][DBLP ] VLSI Design, 2004, pp:431-436 [Conf ] Puneet Gupta , Andrew B. Kahng Efficient Design and Analysis of Robust Power Distribution Meshes. [Citation Graph (0, 0)][DBLP ] VLSI Design, 2006, pp:337-342 [Conf ] Andrew B. Kahng Mini-Tutorial: IC Layout and Manufacturability: Critical Links and Design Flow Implications. [Citation Graph (0, 0)][DBLP ] VLSI Design, 1999, pp:100-105 [Conf ] Andrew B. Kahng , Sudhakar Muddu Improved Effective Capacitance Computations for Use in Logic and Layout Optimization. [Citation Graph (0, 0)][DBLP ] VLSI Design, 1999, pp:578-583 [Conf ] Andrew B. Kahng , Sudhakar Muddu , Egino Sarto Interconnect Optimization Strategies for High-Performance VLSI Designs. [Citation Graph (0, 0)][DBLP ] VLSI Design, 1999, pp:464-469 [Conf ] Andrew B. Kahng , Gabriel Robins , Anish Singh , Alexander Zelikovsky New and Exact Filling Algorithms for Layout Density Control. [Citation Graph (0, 0)][DBLP ] VLSI Design, 1999, pp:106-110 [Conf ] Andrew B. Kahng , Ion I. Mandoiu , Pavel A. Pevzner , Sherief Reda , Alexander Zelikovsky Border Length Minimization in DNA Array Design. [Citation Graph (0, 0)][DBLP ] WABI, 2002, pp:435-448 [Conf ] Piotr Berman , Andrew B. Kahng , Devendra Vidhani , Alexander Zelikovsky The T-join Problem in Sparse Graphs: Applications to Phase Assignment Problem in VLSI Mask Layout. [Citation Graph (0, 0)][DBLP ] WADS, 1999, pp:25-36 [Conf ] Feodor F. Dragan , Andrew B. Kahng , Ion I. Mandoiu , Sudhakar Muddu , Alexander Zelikovsky Practical Approximation Algorithms for Separable Packing Linear Programs. [Citation Graph (0, 0)][DBLP ] WADS, 2001, pp:325-337 [Conf ] Y. Uny Cao , Alex S. Fukunaga , Andrew B. Kahng Cooperative Mobile Robotics: Antecedents and Directions. [Citation Graph (0, 0)][DBLP ] Auton. Robots, 1997, v:4, n:1, pp:7-27 [Journal ] Alan Allan , Don Edenfeld , William H. Joyner Jr. , Andrew B. Kahng , Mike Rodgers , Yervant Zorian 2001 Technology Roadmap for Semiconductors. [Citation Graph (0, 0)][DBLP ] IEEE Computer, 2002, v:35, n:1, pp:42-53 [Journal ] Don Edenfeld , Andrew B. Kahng , Mike Rodgers , Yervant Zorian 2003 Technology Roadmap for Semiconductors. [Citation Graph (0, 0)][DBLP ] IEEE Computer, 2004, v:37, n:1, pp:47-56 [Journal ] Charles J. Alpert , Andrew B. Kahng , So-Zen Yao Spectral Partitioning with Multiple Eigenvectors. [Citation Graph (0, 0)][DBLP ] Discrete Applied Mathematics, 1999, v:90, n:1-3, pp:3-26 [Journal ] Kuang-Chien Chen , Jason Cong , Yuzheng Ding , Andrew B. Kahng , Peter Trajmar DAG-Map: Graph-Based FPGA Technology Mapping for Delay Optimization. [Citation Graph (0, 0)][DBLP ] IEEE Design & Test of Computers, 1992, v:9, n:3, pp:7-20 [Journal ] William H. Joyner Jr. , Andrew B. Kahng Guest Editor's Introduction: Roadmaps and Visions for Design and Test. [Citation Graph (0, 0)][DBLP ] IEEE Design & Test of Computers, 2001, v:18, n:6, pp:4-5 [Journal ] Andrew B. Kahng Variability. [Citation Graph (0, 0)][DBLP ] IEEE Design & Test of Computers, 2002, v:19, n:3, pp:120- [Journal ] Andrew B. Kahng The Road Ahead: The significance of packaging. [Citation Graph (0, 0)][DBLP ] IEEE Design & Test of Computers, 2002, v:19, n:6, pp:104-105 [Journal ] Andrew B. Kahng Error Tolerance. [Citation Graph (0, 0)][DBLP ] IEEE Design & Test of Computers, 2003, v:20, n:1, pp:86-87 [Journal ] Andrew B. Kahng Bringing down NRE. [Citation Graph (0, 0)][DBLP ] IEEE Design & Test of Computers, 2003, v:20, n:3, pp:110-111 [Journal ] Andrew B. Kahng How much variability can designers tolerate? [Citation Graph (0, 0)][DBLP ] IEEE Design & Test of Computers, 2003, v:20, n:6, pp:96-97 [Journal ] Andrew B. Kahng , Grant Martin DAC Highlights. [Citation Graph (0, 0)][DBLP ] IEEE Design & Test of Computers, 2005, v:22, n:3, pp:197-199 [Journal ] Dwight D. Hill , Andrew B. Kahng Guest Editors' Introduction: RTL to GDSII - From Foilware to Standard Practice. [Citation Graph (0, 0)][DBLP ] IEEE Design & Test of Computers, 2004, v:21, n:1, pp:9-12 [Journal ] Inki Hong , Andrew B. Kahng , Byung Ro Moon Improved Large-Step Markov Chain Variants for the Symmetric TSP. [Citation Graph (0, 0)][DBLP ] J. Heuristics, 1997, v:3, n:1, pp:63-81 [Journal ] Andrew B. Kahng , Ion I. Mandoiu , Pavel A. Pevzner , Sherief Reda , Alexander Zelikovsky Scalable Heuristics for Design of DNA Probe Arrays. [Citation Graph (0, 0)][DBLP ] Journal of Computational Biology, 2004, v:11, n:2/3, pp:429-447 [Journal ] Joshua N. Cooper , Robert B. Ellis , Andrew B. Kahng Asymmetric Binary Covering Codes. [Citation Graph (0, 0)][DBLP ] J. Comb. Theory, Ser. A, 2002, v:100, n:2, pp:232-249 [Journal ] Andrew E. Caldwell , Andrew B. Kahng , Igor L. Markov Design and Implementation of Move-Based Heuristics for VLSI Hypergraph Partitioning. [Citation Graph (0, 0)][DBLP ] ACM Journal of Experimental Algorithms, 2000, v:5, n:, pp:5- [Journal ] Andrew B. Kahng , Gabriel Robins , Elizabeth A. Walkup How to test a tree. [Citation Graph (0, 0)][DBLP ] Networks, 1998, v:32, n:3, pp:189-197 [Journal ] Andrew B. Kahng , Sherief Reda Match twice and stitch: a new TSP tour construction heuristic. [Citation Graph (0, 0)][DBLP ] Oper. Res. Lett., 2004, v:32, n:6, pp:499-509 [Journal ] Andrew B. Kahng , Gabriel Robins Optimal algorithms for extracting spatial regularity in images. [Citation Graph (0, 0)][DBLP ] Pattern Recognition Letters, 1991, v:12, n:12, pp:757-764 [Journal ] Charles J. Alpert , Jen-Hsin Huang , Andrew B. Kahng Multilevel circuit partitioning. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1998, v:17, n:8, pp:655-667 [Journal ] Charles J. Alpert , Andrew B. Kahng Multiway partitioning via geometric embeddings, orderings, and dynamic programming. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1995, v:14, n:11, pp:1342-1358 [Journal ] Charles J. Alpert , Andrew B. Kahng , Bao Liu , Ion I. Mandoiu , Alexander Zelikovsky Minimum buffered routing with bounded capacitive load for slew rate and reliability control. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2003, v:22, n:3, pp:241-253 [Journal ] Christoph Albrecht , Andrew B. Kahng , Bao Liu , Ion I. Mandoiu , Alexander Zelikovsky On the skew-bounded minimum-buffer routing tree problem. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2003, v:22, n:7, pp:937-945 [Journal ] Charles J. Alpert , Andrew E. Caldwell , Andrew B. Kahng , Igor L. Markov Hypergraph partitioning with fixed vertices [VLSI CAD]. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2000, v:19, n:2, pp:267-272 [Journal ] Charles J. Alpert , Tony F. Chan , Andrew B. Kahng , Igor L. Markov , Pep Mulet Faster minimization of linear wirelength for global placement. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1998, v:17, n:1, pp:3-13 [Journal ] Charles J. Alpert , T. C. Hu , Dennis J.-H. Huang , Andrew B. Kahng , David Karger Prim-Dijkstra tradeoffs for improved performance-driven routing tree design. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1995, v:14, n:7, pp:890-896 [Journal ] Kenneth D. Boese , Andrew B. Kahng , Bernard A. McCoy , Gabriel Robins Near-optimal critical sink routing tree constructions. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1995, v:14, n:12, pp:1417-1436 [Journal ] Piotr Berman , Andrew B. Kahng , Devendra Vidhani , Huijuan Wang , Alexander Zelikovsky Optimal phase conflict removal for layout of dark field alternatingphase shifting masks. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2000, v:19, n:2, pp:175-187 [Journal ] Andrew E. Caldwell , Hyun-Jin Choi , Andrew B. Kahng , Stefanus Mantik , Miodrag Potkonjak , Gang Qu , Jennifer L. Wong Effective iterative techniques for fingerprinting design IP. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2004, v:23, n:2, pp:208-215 [Journal ] Andrew E. Caldwell , Andrew B. Kahng , Igor L. Markov Optimal partitioners and end-case placers for standard-cell layout. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2000, v:19, n:11, pp:1304-1313 [Journal ] Andrew E. Caldwell , Andrew B. Kahng , Igor L. Markov Hierarchical whitespace allocation in top-down placement. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2003, v:22, n:11, pp:1550-1556 [Journal ] Andrew E. Caldwell , Andrew B. Kahng , Stefanus Mantik , Igor L. Markov , Alexander Zelikovsky On wirelength estimations for row-based placement. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1999, v:18, n:9, pp:1265-1278 [Journal ] Hongyu Chen , Chung-Kuan Cheng , Andrew B. Kahng , Ion I. Mandoiu , Qinke Wang , Bo Yao The Y architecture for on-chip interconnect: analysis and methodology. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2005, v:24, n:4, pp:588-599 [Journal ] Yu Chen , Andrew B. Kahng , Gabriel Robins , Alexander Zelikovsky Area fill synthesis for uniform layout density. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2002, v:21, n:10, pp:1132-1147 [Journal ] Yu Chen , Andrew B. Kahng , Gabriel Robins , Alexander Zelikovsky , Yuhong Zheng Compressible area fill synthesis. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2005, v:24, n:8, pp:1169-1187 [Journal ] Jason Cong , Andrew B. Kahng , Kwok-Shing Leung Efficient algorithms for the minimum shortest path Steiner arborescence problem with applications to VLSI physical design. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1998, v:17, n:1, pp:24-39 [Journal ] Jason Cong , Andrew B. Kahng , Gabriel Robins Matching-based methods for high-performance clock routing. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1993, v:12, n:8, pp:1157-1169 [Journal ] Jason Cong , Andrew B. Kahng , Gabriel Robins , Majid Sarrafzadeh , Chak-Kuen Wong Provably good performance-driven global routing. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1992, v:11, n:6, pp:739-752 [Journal ] Feodor F. Dragan , Andrew B. Kahng , Ion I. Mandoiu , Sudhakar Muddu , Alexander Zelikovsky Provably good global buffering by generalized multiterminalmulticommodity flow approximation. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2002, v:21, n:3, pp:263-274 [Journal ] Lars W. Hagen , Andrew B. Kahng , Fadi J. Kurdahi , Champaka Ramachandran On the intrinsic Rent parameter and spectra-based partitioning methodologies. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1994, v:13, n:1, pp:27-37 [Journal ] Lars W. Hagen , Andrew B. Kahng Combining problem reduction and adaptive multistart: a new technique for superior iterative partitioning. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1997, v:16, n:7, pp:709-717 [Journal ] Puneet Gupta , Andrew B. Kahng , Ion I. Mandoiu , Puneet Sharma Layout-aware scan chain synthesis for improved path delay fault coverage. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2005, v:24, n:7, pp:1104-1114 [Journal ] Puneet Gupta , Andrew B. Kahng , Puneet Sharma , Dennis Sylvester Gate-length biasing for runtime-leakage control. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2006, v:25, n:8, pp:1475-1485 [Journal ] Lars W. Hagen , Dennis J.-H. Huang , Andrew B. Kahng On implementation choices for iterative improvement partitioning algorithms. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1997, v:16, n:10, pp:1199-1205 [Journal ] Lars W. Hagen , Andrew B. Kahng New spectral methods for ratio cut partitioning and clustering. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1992, v:11, n:9, pp:1074-1085 [Journal ] Andrew B. Kahng , Bao Liu , Ion I. Mandoiu Nontree routing for reliability and yield improvement [IC layout]. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2004, v:23, n:1, pp:148-156 [Journal ] Andrew B. Kahng , John Lach , William H. Mangione-Smith , Stefanus Mantik , Igor L. Markov , Miodrag Potkonjak , Paul Tucker , Huijuan Wang , Gregory Wolfe Constraint-based watermarking techniques for design IP protection. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2001, v:20, n:10, pp:1236-1252 [Journal ] Andrew B. Kahng , Sudhakar Muddu An analytical delay model for RLC interconnects. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1997, v:16, n:12, pp:1507-1514 [Journal ] Andrew B. Kahng , Ion I. Mandoiu , Sherief Reda , Xu Xu , Alexander Zelikovsky Computer-Aided Optimization of DNA Array Design and Manufacturing. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2006, v:25, n:2, pp:305-320 [Journal ] Andrew B. Kahng , Stefanus Mantik , Dirk Stroobandt Toward accurate models of achievable routing. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2001, v:20, n:5, pp:648-659 [Journal ] Andrew B. Kahng , Sherief Reda New and improved BIST diagnosis methods from combinatorial Group testing theory. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2006, v:25, n:3, pp:533-543 [Journal ] Andrew B. Kahng , Sherief Reda Wirelength minimization for min-cut placements via placement feedback. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2006, v:25, n:7, pp:1301-1312 [Journal ] Andrew B. Kahng , Gabriel Robins A new class of iterative Steiner tree heuristics with good performance. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1992, v:11, n:7, pp:893-902 [Journal ] Andrew B. Kahng , Gabriel Robins On the performance bounds for a class of rectilinear Steiner tree heuristics in arbitrary dimension. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1992, v:11, n:11, pp:1462-1465 [Journal ] Andrew B. Kahng , Gabriel Robins , Anish Singh , Alexander Zelikovsky Filling algorithms and analyses for layout density control. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1999, v:18, n:4, pp:445-462 [Journal ] Andrew B. Kahng , Majid Sarrafzadeh Guest Editorial. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1998, v:17, n:1, pp:1-2 [Journal ] Andrew B. Kahng , Chung-Wen Albert Tsao Planar-DME: a single-layer zero-skew clock tree router. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 1996, v:15, n:1, pp:8-19 [Journal ] Andrew B. Kahng , Qinke Wang Implementation and extensibility of an analytic placer. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2005, v:24, n:5, pp:734-747 [Journal ] Andrew B. Kahng , Xu Xu Local unidirectional bias for cutsize-delay tradeoff in performance-driven bipartitioning. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2004, v:23, n:4, pp:464-471 [Journal ] Gi-Joon Nam , Sherief Reda , Charles J. Alpert , Paul Villarrubia , Andrew B. Kahng A Fast Hierarchical Quadratic Placement Algorithm. [Citation Graph (0, 0)][DBLP ] IEEE Trans. on CAD of Integrated Circuits and Systems, 2006, v:25, n:4, pp:678-691 [Journal ] Puneet Gupta , Andrew B. Kahng , Stefanus Mantik Routing-aware scan chain ordering. [Citation Graph (0, 0)][DBLP ] ACM Trans. Design Autom. Electr. Syst., 2005, v:10, n:3, pp:546-560 [Journal ] Jason Cong , Andrew B. Kahng , Cheng-Kok Koh , Chung-Wen Albert Tsao Bounded-skew clock and Steiner routing. [Citation Graph (0, 0)][DBLP ] ACM Trans. Design Autom. Electr. Syst., 1998, v:3, n:3, pp:341-388 [Journal ] Andrew B. Kahng , Sudhakar Muddu Analysis of RC interconnections under ramp input. [Citation Graph (0, 0)][DBLP ] ACM Trans. Design Autom. Electr. Syst., 1997, v:2, n:2, pp:168-192 [Journal ] Puneet Gupta , Andrew B. Kahng , Youngmin Kim , Saumil Shah , Dennis Sylvester Line-End Shortening is Not Always a Failure. [Citation Graph (0, 0)][DBLP ] DAC, 2007, pp:270-271 [Conf ] Andrew B. Kahng Design challenges at 65nm and beyond. [Citation Graph (0, 0)][DBLP ] DATE, 2007, pp:1466-1467 [Conf ] Charles Chiang , Andrew B. Kahng , Subarna Sinha , Xu Xu , Alexander Zelikovsky Bright-Field AAPSM Conflict Detection and Correction [Citation Graph (0, 0)][DBLP ] CoRR, 2007, v:0, n:, pp:- [Journal ] Andrew B. Kahng , Bao Liu , Qinke Wang Stochastic Power/Ground Supply Voltage Prediction and Optimization Via Analytical Placement. [Citation Graph (0, 0)][DBLP ] IEEE Trans. VLSI Syst., 2007, v:15, n:8, pp:904-912 [Journal ] Charles J. Alpert , Andrew B. Kahng A general framework for vertex orderings with applications to circuit clustering. [Citation Graph (0, 0)][DBLP ] IEEE Trans. VLSI Syst., 1996, v:4, n:2, pp:240-246 [Journal ] Chung-Kuan Cheng , Andrew B. Kahng , Bao Liu , Dirk Stroobandt Toward better wireload models in the presence of obstacles. [Citation Graph (0, 0)][DBLP ] IEEE Trans. VLSI Syst., 2002, v:10, n:2, pp:177-189 [Journal ] Yu Cao , Chenming Hu , Xuejue Huang , Andrew B. Kahng , Igor L. Markov , Michael Oliver , Dirk Stroobandt , Dennis Sylvester Improved a priori interconnect predictions and technology extrapolation in the GTX system. [Citation Graph (0, 0)][DBLP ] IEEE Trans. VLSI Syst., 2003, v:11, n:1, pp:3-14 [Journal ] Timing analysis and optimization implications of bimodal CD distribution in double patterning lithography. [Citation Graph (, )][DBLP ] A Global Minimum Clock Distribution Network Augmentation Algorithm for Guaranteed Clock Skew Yield. [Citation Graph (, )][DBLP ] Investigation of diffusion rounding for post-lithography analysis. [Citation Graph (, )][DBLP ] Interconnect modeling for improved system-level design optimization. [Citation Graph (, )][DBLP ] Bounded-lifetime integrated circuits. [Citation Graph (, )][DBLP ] DFM in practice: hit or hype? [Citation Graph (, )][DBLP ] Dose map and placement co-optimization for timing yield enhancement and leakage power reduction. [Citation Graph (, )][DBLP ] Recovery-driven design: a power minimization methodology for error-tolerant processor modules. [Citation Graph (, )][DBLP ] Trace-driven optimization of networks-on-chip configurations. [Citation Graph (, )][DBLP ] Eyecharts: constructive benchmarking of gate sizing heuristics. [Citation Graph (, )][DBLP ] ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration. [Citation Graph (, )][DBLP ] Temperature- and Cost-Aware Design of 3D Multiprocessor Architectures. [Citation Graph (, )][DBLP ] On implementation choices for iterative improvement partitioning algorithms. [Citation Graph (, )][DBLP ] Planar-DME: improved planar zero-skew clock routing with minimum pathlength delay. [Citation Graph (, )][DBLP ] Optimal equivalent circuits for interconnect delay calculations using moments. [Citation Graph (, )][DBLP ] Exploiting STI stress for performance. [Citation Graph (, )][DBLP ] Layout decomposition for double patterning lithography. [Citation Graph (, )][DBLP ] Timing yield-aware color reassignment and detailed placement perturbation for double patterning lithography. [Citation Graph (, )][DBLP ] Interconnect Matching Design Rule Inferring and Optimization through Correlation Extraction. [Citation Graph (, )][DBLP ] Analytical thermal placement for VLSI lifetime improvement and minimum performance variation. [Citation Graph (, )][DBLP ] Detailed placement for leakage reduction using systematic through-pitch variation. [Citation Graph (, )][DBLP ] How to get real mad. [Citation Graph (, )][DBLP ] Quantified Impacts of Guardband Reduction on Design Process Outcomes. [Citation Graph (, )][DBLP ] Revisiting the linear programming framework for leakage power vs. performance optimization. [Citation Graph (, )][DBLP ] Toward effective utilization of timing exceptions in design optimization. [Citation Graph (, )][DBLP ] Methodology from chaos in IC implementation. [Citation Graph (, )][DBLP ] Assessing chip-level impact of double patterning lithography. [Citation Graph (, )][DBLP ] Is overlay error more important than interconnect variations in double patterning? [Citation Graph (, )][DBLP ] Multicommodity Flow Algorithms for Buffered Global Routing [Citation Graph (, )][DBLP ] Roundtable: Design and CAD Challenges for Leading-Edge Multimedia Designs. [Citation Graph (, )][DBLP ] Search in 0.085secs, Finished in 0.094secs