The SCEAS System
Navigation Menu

Search the dblp DataBase

Title:
Author:

Federico Silla: [Publications] [Author Rank by year] [Co-authors] [Prefers] [Cites] [Cited by]

Publications of Author

  1. Xavier Molero, Federico Silla, Vicente Santonja
    Modeling and Simulation of a Network of Workstations with Wormhole Switching. [Citation Graph (0, 0)][DBLP]
    Annual Simulation Symposium, 2000, pp:299-0 [Conf]
  2. Xavier Molero, Federico Silla, Vicente Santonja, José Duato
    A Tool for the Design and Evaluation of Fibre Channel Storage Area Networks. [Citation Graph (0, 0)][DBLP]
    Annual Simulation Symposium, 2001, pp:133-0 [Conf]
  3. Shubhendu S. Mukherjee, Federico Silla, Peter J. Bannon, Joel S. Emer, Steven Lang, David Webb
    A comparative study of arbitration algorithms for the Alpha 21364 pipelined router. [Citation Graph (0, 0)][DBLP]
    ASPLOS, 2002, pp:223-234 [Conf]
  4. Federico Silla, José Duato
    Is It Worth the Flexibility Provided by Irregular Topologies in Networks of Workstations? [Citation Graph (0, 0)][DBLP]
    CANPC, 1999, pp:47-61 [Conf]
  5. Federico Silla, Manuel P. Malumbres, Antonio Robles, Pedro López, José Duato
    Efficient Adaptive Routing in Networks of Workstations with Irregular Topology. [Citation Graph (0, 0)][DBLP]
    CANPC, 1997, pp:46-60 [Conf]
  6. Xavier Molero, Federico Silla, Vicente Santonja, José Duato
    Improving Network Performance by Efficiently Dealing with Short Control Messages in Fibre Channel SANs. [Citation Graph (0, 0)][DBLP]
    Euro-Par, 2001, pp:901-910 [Conf]
  7. Xavier Molero, Federico Silla, Vicente Santonja, José Duato
    On the Switch Architecture for Fibre Channel Storage Area Networks. [Citation Graph (0, 0)][DBLP]
    ICPADS, 2001, pp:484-491 [Conf]
  8. José Duato, Pedro López, Federico Silla, Sudhakar Yalamanchili
    A High Performance Router Architecture for Interconnection Networks. [Citation Graph (0, 0)][DBLP]
    ICPP, Vol. 1, 1996, pp:61-68 [Conf]
  9. Federico Silla, Manuel P. Malumbres, José Duato, Donglai Dai, Dhabaleswar K. Panda
    Impact of Adaptivity on the Behaviour of Networks of Workstations under Bursty Traffic. [Citation Graph (0, 0)][DBLP]
    ICPP, 1998, pp:88-95 [Conf]
  10. Federico Silla, Antonio Robles, José Duato
    Improving Performance of Networks of Workstations by using Disha Concurrent. [Citation Graph (0, 0)][DBLP]
    ICPP, 1998, pp:80-87 [Conf]
  11. Juan M. Orduña, Federico Silla, José Duato
    A New Task Mapping Technique for Communication-Aware Scheduling Strategies. [Citation Graph (0, 0)][DBLP]
    ICPP Workshops, 2001, pp:349-354 [Conf]
  12. José Duato, Antonio Robles, Federico Silla, Ramón Beivide
    A Comparison of Router Architectures for Virtual Cut-Through and Wormhole Switching in a NOWEnvironment. [Citation Graph (0, 0)][DBLP]
    IPPS/SPDP, 1999, pp:240-247 [Conf]
  13. Xavier Molero, Federico Silla, Vicente Santonja, José Duato
    On the Interconnection Topology for Storage Area Networks. [Citation Graph (0, 0)][DBLP]
    IPDPS, 2001, pp:162- [Conf]
  14. Xavier Molero, Federico Silla, Vicente Santonja, José Duato
    Performance Sensitivity of Routing Algorithms to Failures in Networks of Worksations. [Citation Graph (0, 0)][DBLP]
    ISHPC, 2000, pp:230-242 [Conf]
  15. Juan Carlos Martínez, Federico Silla, Pedro López, José Duato
    On the Influence of the Selection Function on the Performance of Networks of Workstations. [Citation Graph (0, 0)][DBLP]
    ISHPC, 2000, pp:292-299 [Conf]
  16. Xavier Molero, Federico Silla, Vicente Santonja, José Duato
    On the Effect of Link Failures in Fibre Channel Storage Area Networks. [Citation Graph (0, 0)][DBLP]
    ISPAN, 2000, pp:102-113 [Conf]
  17. Xavier Molero, Federico Silla, Vicente Santonja, José Duato
    Modeling and Simulation of Storage Area Networks. [Citation Graph (0, 0)][DBLP]
    MASCOTS, 2000, pp:307-314 [Conf]
  18. Xavier Molero, Federico Silla, Vicente Santonja, José Duato
    On the Scalability of Topologies for Storage Area Networks in Building Environments. [Citation Graph (0, 0)][DBLP]
    NCA, 2001, pp:332-335 [Conf]
  19. Román García, José Duato, Federico Silla
    LSOM: A Link State Protocol Over Mac Addresses for Metropolitan Backbones Using Optical Ethernet Switches. [Citation Graph (0, 0)][DBLP]
    NCA, 2003, pp:315-321 [Conf]
  20. Federico Silla, José Duato
    On the Use of Virtual Channels in Networks of Workstations with Irregular Topology. [Citation Graph (0, 0)][DBLP]
    PCRCW, 1997, pp:203-216 [Conf]
  21. Juan M. Orduña, Federico Silla, José Duato
    Towards a Communication-Aware Task Scheduling Strategy for Heterogeneous Systems. [Citation Graph (0, 0)][DBLP]
    Computers and Artificial Intelligence, 2001, v:20, n:3, pp:- [Journal]
  22. Juan M. Orduña, Federico Silla, José Duato
    A Clustering Method for Modeling the Communication Requirements of Message-Passing Applications. [Citation Graph (0, 0)][DBLP]
    Computers and Artificial Intelligence, 2002, v:21, n:1, pp:- [Journal]
  23. José Duato, Antonio Robles, Federico Silla, Ramón Beivide
    A Comparison of Router Architectures for Virtual Cut-Through and Wormhole Switching in a NOW Environment. [Citation Graph (0, 0)][DBLP]
    J. Parallel Distrib. Comput., 2001, v:61, n:2, pp:224-253 [Journal]
  24. Juan M. Orduña, Federico Silla, José Duato
    On the development of a communication-aware task mapping technique. [Citation Graph (0, 0)][DBLP]
    Journal of Systems Architecture, 2004, v:50, n:4, pp:207-220 [Journal]
  25. Federico Silla, José Duato
    High-Performance Routing in Networks of Workstations with Irregular Topology. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Parallel Distrib. Syst., 2000, v:11, n:7, pp:699-719 [Journal]
  26. Federico Silla, José Duato
    On the Use of Virtual Channels in Networks of Workstations with Irregular Topology. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. Parallel Distrib. Syst., 2000, v:11, n:8, pp:813-828 [Journal]

  27. A methodology for the characterization of process variation in NoC links. [Citation Graph (, )][DBLP]


  28. An Efficient Implementation of GPU Virtualization in High Performance Clusters. [Citation Graph (, )][DBLP]


  29. A practical way to extend shared memory support beyond a motherboard at low cost. [Citation Graph (, )][DBLP]


  30. Network Reconfiguration Suitability for Scientific Applications. [Citation Graph (, )][DBLP]


  31. A new mechanism to deal with process variability in NoC links. [Citation Graph (, )][DBLP]


  32. On the Impact of Message Packetization in Networks of Workstations with Irregular Topology. [Citation Graph (, )][DBLP]


  33. Improving the Performance of GALS-Based NoCs in the Presence of Process Variation. [Citation Graph (, )][DBLP]


  34. Addressing Manufacturing Challenges with Cost-Efficient Fault Tolerant Routing. [Citation Graph (, )][DBLP]


  35. rCUDA: Reducing the number of GPU-based accelerators in high performance clusters. [Citation Graph (, )][DBLP]


Search in 0.002secs, Finished in 0.303secs
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002