The SCEAS System
Navigation Menu

Search the dblp DataBase

Title:
Author:

Paul Marchal: [Publications] [Author Rank by year] [Co-authors] [Prefers] [Cites] [Cited by]

Publications of Author

  1. José Ignacio Gómez, Paul Marchal, Sven Verdoolaege, Luis Piñuel, Francky Catthoor
    Optimizing the Memory Bandwidth with Loop Morphing. [Citation Graph (0, 0)][DBLP]
    ASAP, 2004, pp:213-223 [Conf]
  2. Paul Marchal, José Ignacio Gómez, Francky Catthoor
    Optimizing the memory bandwidth with loop fusion. [Citation Graph (0, 0)][DBLP]
    CODES+ISSS, 2004, pp:188-193 [Conf]
  3. Anthony Leroy, Paul Marchal, Adelina Shickova, Francky Catthoor, Frédéric Robert, Diederik Verkest
    Spatial division multiplexing: a novel approach for guaranteed throughput on NoCs. [Citation Graph (0, 0)][DBLP]
    CODES+ISSS, 2005, pp:81-86 [Conf]
  4. Chun Wong, Paul Marchal, Peng Yang
    Task concurrency management methodology to schedule the MPEG4 IM1 player on a highly parallel processor platform. [Citation Graph (0, 0)][DBLP]
    CODES, 2001, pp:170-177 [Conf]
  5. Francesco Poletti, Paul Marchal, David Atienza, Luca Benini, Francky Catthoor, Jose Manuel Mendias
    An integrated hardware/software approach for run-time scratchpad management. [Citation Graph (0, 0)][DBLP]
    DAC, 2004, pp:238-243 [Conf]
  6. Francesco Poletti, Antonio Poggiali, Paul Marchal
    Flexible Hardware/Software Support for Message Passing on a Distributed Shared Memory Architecture. [Citation Graph (0, 0)][DBLP]
    DATE, 2005, pp:736-741 [Conf]
  7. Paul Marchal, José Ignacio Gómez, Luis Piñuel, Davide Bruni, Luca Benini, Francky Catthoor, Henk Corporaal
    SDRAM-Energy-Aware Memory Allocation for Dynamic Multi-Media Applications on Multi-Processor Platforms. [Citation Graph (0, 0)][DBLP]
    DATE, 2003, pp:10516-10523 [Conf]
  8. Giacomo Paci, Paul Marchal, Francesco Poletti, Luca Benini
    Exploring "temperature-aware" design in low-power MPSoCs. [Citation Graph (0, 0)][DBLP]
    DATE, 2006, pp:838-843 [Conf]
  9. Chun Wong, Paul Marchal, Peng Yang, Francky Catthoor, Hugo De Man, Aggeliki Prayati, Nathalie Cossement, Rudy Lauwereins, Diederik Verkest
    Task concurrency management methodology summary. [Citation Graph (0, 0)][DBLP]
    DATE, 2001, pp:813- [Conf]
  10. Diederik Verkest, Peng Yang, Chun Wong, Paul Marchal
    Optimisation Problems for Dynamic Concurrent Task-Based Systems. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2001, pp:265-0 [Conf]
  11. Aggeliki Prayati, Chun Wong, Paul Marchal, Nathalie Cossement, Francky Catthoor, Rudy Lauwereins, Diederik Verkest, Hugo De Man, Alexios N. Birbas
    Task Concurrency Management Experiment for Power-Efficient Speed-up of Embedded MPEG4 IM1 Player. [Citation Graph (0, 0)][DBLP]
    ICPP Workshops, 2000, pp:453-460 [Conf]
  12. Rudy Lauwereins, Chun Wong, Paul Marchal, Johan Vounckx, Patrick David, Stefaan Himpe, Francky Catthoor, Peng Yang
    Managing Dynamic Concurrent Tasks in Embedded Real-Time Multimedia Systems. [Citation Graph (0, 0)][DBLP]
    ISSS, 2002, pp:112-119 [Conf]
  13. Paul Marchal, Chun Wong, Aggeliki Prayati, Nathalie Cossement, Francky Catthoor, Rudy Lauwereins, Diederik Verkest, Hugo De Man
    Dynamic Memory Oriented Transformations in the MPEG4 IM1-Player on a Low Power Platform. [Citation Graph (0, 0)][DBLP]
    PACS, 2000, pp:40-50 [Conf]
  14. Paul Marchal, Francky Catthoor, Davide Bruni, Luca Benini, José Ignacio Gómez, Luis Piñuel
    Integrated Task Scheduling and Data Assignment for SDRAMs in Dynamic Applications. [Citation Graph (0, 0)][DBLP]
    IEEE Design & Test of Computers, 2004, v:21, n:5, pp:378-387 [Journal]
  15. Peng Yang, Chun Wong, Paul Marchal, Francky Catthoor, Dirk Desmet, Diederik Verkest, Rudy Lauwereins
    Energy-Aware Runtime Scheduling for Embedded-Multiprocessor SOCs. [Citation Graph (0, 0)][DBLP]
    IEEE Design & Test of Computers, 2001, v:18, n:5, pp:46-58 [Journal]
  16. Paul Marchal, Murali Jayapala, Samuel Xavier de Souza, Peng Yang, Francky Catthoor, Geert Deconinck
    Matador: An Exploration Environment for System-Design. [Citation Graph (0, 0)][DBLP]
    Journal of Circuits, Systems, and Computers, 2002, v:11, n:5, pp:503-536 [Journal]

  17. Exploration of Low Power Adders for a SIMD Data Path. [Citation Graph (, )][DBLP]


  18. HOT TOPIC - 3D Integration or How to Scale in the 21st Century. [Citation Graph (, )][DBLP]


  19. System-level power/performance evaluation of 3D stacked DRAMs for mobile applications. [Citation Graph (, )][DBLP]


  20. An RDL-configurable 3D memory tier to replace on-chip SRAM. [Citation Graph (, )][DBLP]


  21. How to Live with Uncertainties: Exploiting the Performance Benefits of Self-Timed Logic In Synchronous Design. [Citation Graph (, )][DBLP]


  22. Evaluation of energy-recovering interconnects for low-power 3D stacked ICs. [Citation Graph (, )][DBLP]


  23. Automated Pathfinding tool chain for 3D-stacked integrated circuits: Practical case study. [Citation Graph (, )][DBLP]


Search in 0.003secs, Finished in 0.281secs
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002