The SCEAS System
Navigation Menu

Search the dblp DataBase

Title:
Author:

Amir H. Ajami: [Publications] [Author Rank by year] [Co-authors] [Prefers] [Cites] [Cited by]

Publications of Author

  1. Amir H. Ajami, Massoud Pedram
    Post-layout timing-driven cell placement using an accurate net length model with movable Steiner points. [Citation Graph (0, 0)][DBLP]
    ASP-DAC, 2001, pp:595-600 [Conf]
  2. Amir H. Ajami, Kaustav Banerjee, Massoud Pedram, Lukas P. P. P. van Ginneken
    Analysis of Non-Uniform Temperature-Dependent Interconnect Performance in High Performance ICs. [Citation Graph (0, 0)][DBLP]
    DAC, 2001, pp:567-572 [Conf]
  3. Shahin Nazarian, Massoud Pedram, Emre Tuncer, Tao Lin, Amir H. Ajami
    Modeling and Propagation of Noisy Waveforms in Static Timing Analysis. [Citation Graph (0, 0)][DBLP]
    DATE, 2005, pp:776-777 [Conf]
  4. Soroush Abbaspour, Amir H. Ajami, Massoud Pedram, Emre Tuncer
    TFA: a threshold-based filtering algorithm for propagation delay and slew calculation of high-speed VLSI interconnects. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2004, pp:19-24 [Conf]
  5. Hanif Fatemi, Soroush Abbaspour, Massoud Pedram, Amir H. Ajami, Emre Tuncer
    SACI: statistical static timing analysis of coupled interconnects. [Citation Graph (0, 0)][DBLP]
    ACM Great Lakes Symposium on VLSI, 2006, pp:241-246 [Conf]
  6. Amir H. Ajami, Kaustav Banerjee, Massoud Pedram
    Analysis of Substrate Thermal Gradient Effects on Optimal Buffer Insertion. [Citation Graph (0, 0)][DBLP]
    ICCAD, 2001, pp:44-48 [Conf]
  7. Peyman Rezvani, Amir H. Ajami, Massoud Pedram, Hamid Savoj
    LEOPARD: a Logical Effort-based fanout OPtimizer for ARea and Delay. [Citation Graph (0, 0)][DBLP]
    ICCAD, 1999, pp:516-519 [Conf]
  8. Kaustav Banerjee, Massoud Pedram, Amir H. Ajami
    Analysis and optimization of thermal issues in high-performance VLSI. [Citation Graph (0, 0)][DBLP]
    ISPD, 2001, pp:230-237 [Conf]
  9. Amir H. Ajami, Kaustav Banerjee, Massoud Pedram
    Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. on CAD of Integrated Circuits and Systems, 2005, v:24, n:6, pp:849-861 [Journal]
  10. Shahin Nazarian, Massoud Pedram, Emre Tuncer, Tao Lin, Amir H. Ajami
    Modeling and Propagation of Noisy Waveforms in Static Timing Analysis [Citation Graph (0, 0)][DBLP]
    CoRR, 2007, v:0, n:, pp:- [Journal]
  11. Soroush Abbaspour, Massoud Pedram, Amir H. Ajami, Chandramouli V. Kashyap
    Fast Interconnect and Gate Timing Analysis for Performance Optimization. [Citation Graph (0, 0)][DBLP]
    IEEE Trans. VLSI Syst., 2006, v:14, n:12, pp:1383-1388 [Journal]

Search in 0.002secs, Finished in 0.002secs
NOTICE1
System may not be available sometimes or not working properly, since it is still in development with continuous upgrades
NOTICE2
The rankings that are presented on this page should NOT be considered as formal since the citation info is incomplete in DBLP
 
System created by asidirop@csd.auth.gr [http://users.auth.gr/~asidirop/] © 2002
for Data Engineering Laboratory, Department of Informatics, Aristotle University © 2002